Patents by Inventor Veeraraghavan S. Basker

Veeraraghavan S. Basker has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11955526
    Abstract: An apparatus comprising a substrate and a thin gate oxide nanosheet device located on the substrate, having a first plurality of nanosheet layers, wherein each of the first plurality of nanosheet layers has a first thickness located at the center of the nanosheet. A thick gate oxide nanosheet device located on the substrate, having a second plurality of nanosheet layers, wherein each of the second plurality of nanosheet layers has a second thickness and wherein the first thickness is less than the second thickness.
    Type: Grant
    Filed: June 15, 2021
    Date of Patent: April 9, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park, Veeraraghavan S. Basker
  • Publication number: 20240113200
    Abstract: An integrated circuit apparatus includes a substrate and a well contact that is disposed on the substrate. The well contact includes first and second source/drain structures that are disposed on the substrate; a metal vertical portion that contacts the substrate immediately between the first and second source/drain structures; inner spacers that electrically insulate the vertical portion from the adjacent source/drain structures; bottom dielectric isolation that electrically insulates the source/drain structures from the substrate; and a well portion that is embedded into the substrate in registry with the vertical portion. The well portion is doped differently than the substrate.
    Type: Application
    Filed: October 4, 2022
    Publication date: April 4, 2024
    Inventors: HUIMEI ZHOU, MIAOMIAO WANG, Julien Frougier, Andrew M. Greene, Barry Paul Linder, Kai Zhao, Ruilong Xie, Tian Shen, Veeraraghavan S. Basker
  • Patent number: 11894361
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Grant
    Filed: December 8, 2021
    Date of Patent: February 6, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Patent number: 11894436
    Abstract: A CFET (complementary field effect transistor) structure including a substrate, a first CFET formed above the substrate, and a second CFET formed above the substrate. The first CFET includes a top FET and a bottom FET. The top FET and bottom FET of the first CFET include at least one nanosheet channel. A gate affiliated with the first CFET and the second CFET devices includes a continuous horizontal dielectric over the entire length of the gate. The top FET of each CFET has a first polarity. The bottom FET of each a CFET comprises a second polarity. The top FET of the first CFET includes a first work function metal, and the top FET of the second CFET includes a second work function metal.
    Type: Grant
    Filed: December 6, 2021
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Julien Frougier, Ruilong Xie, Nicolas Loubet, Andrew M. Greene, Veeraraghavan S. Basker, Balasubramanian S. Pranatharthiharan
  • Publication number: 20240038594
    Abstract: FinFET devices and processes to prevent fin or gate collapse (e.g., flopover) in finFET devices are provided. The method includes forming a first set of trenches in a semiconductor material and filling the first set of trenches with insulator material. The method further includes forming a second set of trenches in the semiconductor material, alternating with the first set of trenches that are filled. The second set of trenches form semiconductor structures which have a dimension of fin structures. The method further includes filling the second set of trenches with insulator material. The method further includes recessing the insulator material within the first set of trenches and the second set of trenches to form the fin structures.
    Type: Application
    Filed: February 28, 2023
    Publication date: February 1, 2024
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Publication number: 20240006467
    Abstract: A semiconductor structure that includes a nanosheet logic device (i.e., nFET and/or pFET) co-integrated with a precision middle-of-the-line (MOL) resistor is provided. The precision MOL resistor is located over a nanosheet device and is present in at least one resistor device region of a semiconductor substrate. The at least one resistor device region can include a first resistor device region in which the MOL resistor is optimized for low capacitance and/or a second resistor device region in which the MOL resistor is optimized for low self-heating.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Nicolas Jean Loubet, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang
  • Patent number: 11757012
    Abstract: A technique relates to a semiconductor device. A source or drain (S/D) contact liner is formed on one or more S/D regions. Annealing is performed to form a silicide layer around the one or more S/D regions, the silicide layer being formed at an interface between the S/D contact liner and the S/D regions. A block layer is formed into a pattern over the one or more S/D regions, such that a portion of the S/D contact liner is protected by the block layer. Unprotected portions of the S/D contact liner are removed, such that the S/D contact liner protected by the block layer remains over the one or more S/D regions. The block layer and S/D contacts are formed on the S/D contact liner over the one or more S/D regions.
    Type: Grant
    Filed: November 19, 2019
    Date of Patent: September 12, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew Greene, Dechao Guo, Tenko Yamashita, Veeraraghavan S. Basker, Robert Robison, Ardasheir Rahman
  • Publication number: 20230282722
    Abstract: A semiconductor device including a first nanodevice is located on a substrate, where the first nanodevice includes at least one channel. A first source/drain connected to the first nanodevice. A second nanodevice located on the substrate, where the second nanodevice includes at least one channel and a second source/drain connected to the second nanodevice. A first contact located above the first source/drain. A second contact located above the second source/drain. A contact cap located on top of the first contact and the second contact, where the contact cap has a first leg that extends downwards between the first contact and the second contact. The first leg of the contact cap is in contact with a first sidewall of the first contact, and a first sidewall of the second contact.
    Type: Application
    Filed: March 4, 2022
    Publication date: September 7, 2023
    Inventors: Julien Frougier, Sagarika Mukesh, Albert M Chu, Ruilong Xie, Andrew M. Greene, Eric Miller, Junli Wang, Veeraraghavan S. Basker, Prateek Hundekar, Tushar Gupta, Su Chen Fan
  • Patent number: 11710768
    Abstract: An apparatus including a substrate and a first nanosheet device located on the substrate. A second nanosheet device is located on the substrate, where the second nanosheet device is adjacent to the first nanosheet device. At least one first gate located on the first nanosheet device and the at least one first gate has a first width. At least one second gate located on the second nanosheet device and the at least one second gate has a second width. The first width and the second width are substantially the same. A diffusion break located between the first nanosheet device and the second nanosheet device. The diffusion break prevents the first nanosheet device from contacting the second nanosheet device, and the diffusion break has a third width. The third width is larger than the first width and the second width.
    Type: Grant
    Filed: May 26, 2021
    Date of Patent: July 25, 2023
    Assignee: International Business Machines Corporation
    Inventors: Eric Miller, Indira Seshadri, Andrew M. Greene, Julien Frougier, Veeraraghavan S. Basker
  • Publication number: 20230215800
    Abstract: A via connection layer for an electronic package and method for fabricating a via connection layer are provided. The via connection layer includes asymmetric via(s) formed in the via connection layer. The asymmetric via include a first sidewall with a first slope angle in a first direction and a second sidewall, where the second sidewall includes a second slope angle in the first direction.
    Type: Application
    Filed: December 30, 2021
    Publication date: July 6, 2023
    Inventors: Ruilong XIE, Oleg GLUSCHENKOV, Yasir SULEHRIA, Julien FROUGIER, Veeraraghavan S. BASKER
  • Patent number: 11695057
    Abstract: A method for manufacturing a semiconductor device includes forming a plurality of first semiconductor layers alternately stacked with a plurality of second semiconductor layers on a semiconductor substrate, and laterally recessing the plurality of first semiconductor layers with respect to the plurality of second semiconductor layers to form a plurality of vacant areas on lateral sides of the plurality of first semiconductor layers. In the method, a plurality of first inner spacers are formed on the lateral sides of the plurality of first semiconductor layers in respective ones of the plurality of vacant areas, and a plurality of second inner spacers are formed on sides of the plurality of first inner spacers in the respective ones of the plurality of vacant areas. The method also includes laterally recessing the plurality of second semiconductor layers, and growing a plurality of source/drain regions from the plurality of second semiconductor layers.
    Type: Grant
    Filed: June 14, 2021
    Date of Patent: July 4, 2023
    Assignee: International Business Machines Corporation
    Inventors: Yao Yao, Ruilong Xie, Andrew Greene, Veeraraghavan S. Basker
  • Patent number: 11688741
    Abstract: A semiconductor device is provided. The semiconductor device includes a semiconductor substrate; a transistor stack structure formed on the semiconductor substrate, the transistor stack structure including a first FET and a second FET, where the first FET is a different polarity than the second FET; a first source-drain epitaxial layer of the first FET formed directly on the substrate adjacent to the first FET; and a second source-drain epitaxial layer of the second FET formed on the substrate adjacent to the second FET, wherein a bottom dielectric isolation layer is formed between the substrate and the second epitaxial layer.
    Type: Grant
    Filed: March 26, 2021
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew M. Greene, Julien Frougier, Jingyun Zhang, Sung Dae Suk, Veeraraghavan S. Basker, Ruilong Xie
  • Publication number: 20230197530
    Abstract: A semiconductor device is provided. The semiconductor device includes a top field effect device over a bottom field effect device, and a bottom contact electrically connecting a bottom source/drain of the bottom field effect device to a first buried power rail. The semiconductor device further includes a bottom contact cap on the bottom contact, and a trench liner on opposite sides of the bottom contact cap and the bottom contact.
    Type: Application
    Filed: December 17, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong Xie, Andrew M. Greene, Veeraraghavan S. Basker, Jingyun Zhang, Alexander Reznicek
  • Publication number: 20230197503
    Abstract: Embodiments herein describe semiconductor devices with single diffusion breaks that are narrower than the gates of transistors in those devices. That is, rather than forming the diffusion breaks using a dummy gate (which would result in the diffusion breaks having the same width as the gates of the transistors) the embodiments herein use different means to establish the width of the diffusion break. As a result, the diffusion break can be narrower than traditional diffusion breaks formed using dummy gates, thereby saving area in the semiconductor device.
    Type: Application
    Filed: December 20, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong XIE, Veeraraghavan S. BASKER, Kangguo CHENG, Junli WANG
  • Publication number: 20230197526
    Abstract: Embodiments of the present disclosure provide a semiconductor structure including a first sidewall spacer positioned between a first gate terminal and a first source/drain terminal of a first active device. The first sidewall spacer includes a first L-shaped spacer and a first outer spacer. The L-shaped spacer having a base portion and a vertical portion vertically extended, parallel to the first outer spacer, to a top portion of a first inter dielectric layer (IDL). A RDB dielectric, having a reduced width less than a width of the first gate terminal. The RDB dielectric vertically extends from the top portion of the IDL into the substrate. The RDB dielectric is separated from the first source/drain terminal by first RDB spacer, the first RDB spacer includes a first upper spacer. The first RDB spacer has a reduced width less that the first sidewall spacer width.
    Type: Application
    Filed: December 22, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong Xie, Veeraraghavan S. Basker, Kangguo Cheng, Junli Wang
  • Publication number: 20230187514
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures for co-integrating gate-all-around (GAA) nanosheets and comb-nanosheets on the same chip, wafer, or substrate. In a non-limiting embodiment of the invention, a GAA nanosheet device is formed in a first region of a substrate. The GAA nanosheet device includes a first nanosheet stack, a second nanosheet stack, and a first fin spacing distance between the first nanosheet stack and the second nanosheet stack. A comb-nanosheet device is formed in a second region of a substrate. The comb-nanosheet device includes a third nanosheet stack, a fourth nanosheet stack, and a second fin spacing distance between the third nanosheet stack and the fourth nanosheet stack that is less than the first fin spacing distance.
    Type: Application
    Filed: December 13, 2021
    Publication date: June 15, 2023
    Inventors: Huimei Zhou, Julien Frougier, Nicolas Loubet, Ruilong Xie, Miaomiao Wang, Veeraraghavan S. Basker
  • Publication number: 20230187516
    Abstract: A gate-all-around field effect transistor device is provided. The gate-all-around field effect transistor device includes one or more channel layers on a substrate. The gate-all-around field effect transistor device further includes an inner spacer wrapped around four sides of an end portion of each of the one or more channel layers. The gate-all-around field effect transistor device further includes a portion of an inner spacer liner between a portion of an upper most channel layer and a portion of an outer spacer.
    Type: Application
    Filed: December 14, 2021
    Publication date: June 15, 2023
    Inventors: Julien Frougier, Andrew M. Greene, Ruilong Xie, Kangguo Cheng, Veeraraghavan S. Basker
  • Publication number: 20230178437
    Abstract: Embodiments of the invention are directed to a method of fabricating an integrated circuit (IC). The method includes performing fabrication operations to form transistors on a substrate. The fabrication operations include forming a sacrificial metal gate and forming a shared non-sacrificial metal gate. The sacrificial metal gate is recessed to form a sacrificial metal gate, and the shared non-sacrificial metal gate is recessed to form a recessed shared non-sacrificial metal gate. A pattern is formed over the sacrificial metal gate and the recessed shared non-sacrificial metal gate. The pattern defines a single diffusion break footprint over a top surface of the sacrificial metal gate, along with a gate-cut footprint over a central region of a top surface of the recessed shared non-sacrificial metal gate.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Ruilong Xie, Balasubramanian S. Pranatharthiharan, Stuart Sieg, Nelson Felix, Veeraraghavan S. Basker
  • Publication number: 20230178539
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Publication number: 20230178620
    Abstract: A CFET (complementary field effect transistor) structure including a substrate, a first CFET formed above the substrate, and a second CFET formed above the substrate. The first CFET includes a top FET and a bottom FET. The top FET and bottom FET of the first CFET include at least one nanosheet channel. A gate affiliated with the first CFET and the second CFET devices includes a continuous horizontal dielectric over the entire length of the gate. The top FET of each CFET has a first polarity. The bottom FET of each a CFET comprises a second polarity. The top FET of the first CFET includes a first work function metal, and the top FET of the second CFET includes a second work function metal.
    Type: Application
    Filed: December 6, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Ruilong Xie, Nicolas Loubet, Andrew M. Greene, Veeraraghavan S. Basker, Balasubramanian S. Pranatharthiharan