Method and apparatus for two-step polishing

- Applied Materials, Inc.

Methods and apparatus for planarizing a substrate surface having copper containing materials thereon is provided. In one aspect, the invention provides a method for polishing a substrate including polishing the substrate with an abrasive-free polishing pad until it is substantially planarized and then polishing the substrate with a fixed abrasive polishing pad to remove residual materials disposed thereon. Another aspect of the invention provides a computer readable medium bearing instructions for performing the method described herein. In another aspect, the invention provides a system for processing substrates including a first platen, an abrasive-free polishing pad disposed on the first platen, a second platen, a fixed abrasive polishing pad disposed on the second platen, and a computer based controller configured to cause the system to polish the substrate with an abrasive-free polishing pad; and then to polish the substrate with a fixed abrasive polishing pad to remove residual materials disposed thereon.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention

[0002] The present invention relates generally to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices.

[0003] 2. Description of the Related Art

[0004] Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology has placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias, contacts, lines, and other interconnects. Reliable formation of these interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.

[0005] In order to further improve the current density of semiconductor devices on integrated circuits, it has become necessary to use conductive materials having low resistivity for conductors and materials having low dielectric constant (low k, defined herein as having dielectric constants, k, less than about 4.0) as insulating layers to reduce the capacitive coupling between adjacent interconnects. Increased capacitative coupling between layers can detrimentally affect the functioning of semiconductor devices.

[0006] One conductive material gaining acceptance is copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 &mgr;&OHgr;-cm compared to 3.1 &mgr;&OHgr;-cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.

[0007] One difficulty in using copper in semiconductor devices is that copper is difficult to etch and achieve a precise pattern. Etching with copper using traditional deposition/etch processes for forming interconnects has been less than satisfactory. Therefore, new methods of manufacturing interconnects having copper containing materials and low k dielectric materials are being developed.

[0008] One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method. In the damascene method, one or more dielectric materials, such as the low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, i.e., vias, and horizontal interconnects, ie., lines. Conductive materials, such as copper containing materials, and other materials, such as barrier layer materials used to prevent diffusion of copper containing materials into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess copper containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.

[0009] As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization. Planarizing a surface, or “polishing” a surface, is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in dual damascene processes to remove excess deposited material and to provide an even surface for subsequent levels of metallization and processing. Planarization may also be used in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials.

[0010] Chemical mechanical planarization, or chemical mechanical polishing (CMP), is a common technique used to planarize substrates. In conventional CMP techniques, a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition to effect both chemical activity and mechanical activity.

[0011] Conventionally, in polishing copper features, such as a dual damascenes, the copper containing material is polished to the barrier layer, and then the barrier layer is polished to the underlying dielectric layer. One challenge which is presented in copper polishing is that the interface between copper and the barrier layer is generally non-planar. Further, the copper material and the barrier materials are often removed from the substrate surface at different rates. These challenges in copper removal often results in the retention of copper containing material, or residue, on the surface of the substrate. To ensure removal of all the copper material and residue before removing the barrier material, it is necessary to overpolish the copper and the interface. Overpolishing of copper and the interface can result in forming topographical defects, such as concavities or depressions, referred to as dishing, and can further lead to non-uniform removal of the barrier layer disposed thereunder.

[0012] One solution is to remove copper material from the substrate surface in two sequential polishing steps. The first step comprises using an abrasive containing slurry on a conventional polishing pad to remove the bulk copper and then removal of the remaining copper by a second abrasive containing slurry which may also remove a portion of the barrier layer. However, this two step “slurry-slurry” technique can still result in an unacceptable amount of dishing.

[0013] FIG. 1 is a schematic view of a substrate illustrating the phenomenon of dishing. Conductive lines 11 and 12 are formed by depositing conductive materials, such as copper or copper alloy, in a feature definition formed in the dielectric layer 10, typically comprised of silicon oxides or other dielectric materials. After planarization, a portion of the conductive material is depressed by an amount D, referred to as the amount of dishing, forming a concave copper surface. Dishing results in a non-planar surface that impairs the ability to print high resolution lines during subsequent photolithographic steps and detrimentally affects subsequent surface topography of the substrate and device formation. Dishing also detrimentally affects the performance of devices by lowering the conductance and increasing the resistance of the devices, contrary to the benefit of using higher conductive materials, such as copper.

[0014] One process that minimizes the effects of dishing is polishing the substrate surface on a fixed abrasive pad. A fixed abrasive polishing pad typically contains abrasive particles held in a containment media which are released during the polishing process. However, fixed abrasive pads require frequent replacing due to wear and processes using fixed abrasive pads require longer polishing times which can result in lower substrate through-put. One solution to increase substrate through-put with fixed abrasive pads is to increase processing pressure between the substrate and the surface of the polishing pad. However, an increased contact pressure during polishing has been observed to result in scratching and other defect formation on the surface of the substrate. Scratching of the substrate surface can detrimentally affect subsequent processing of the substrate and detrimentally affect device fabrication and performance.

[0015] Another technique to remove copper includes polishing the substrate with an abrasive-free polishing composition. Abrasive-free polishing techniques generally have superior substrate through-put performance as well as increased wear resistance and reduced substrate scratching in comparison to fixed abrasive polishing techniques. However, abrasive-free polishing techniques have exhibited difficulty in removing all of the copper material from the surface of the substrate which may remain as undesirable metal residues after the polishing process. The presence of residual material can detrimentally effect subsequent polishing processes, such as barrier layer removal, and detrimentally affect the polish quality of the substrate surface.

[0016] Therefore, there exists a need for an apparatus, method, and related polishing compositions which facilitates the removal of copper containing material from the surface of a substrate with minimal or reduced dishing and scratching of the substrate surface.

SUMMARY OF THE INVENTION

[0017] The invention generally provides an apparatus and method for planarizing a substrate surface having a copper containing material disposed thereon. In one aspect, the invention provides a method for polishing a substrate including polishing the substrate with an abrasive-free polishing pad until it is substantially planarized and then polishing the substrate with a fixed abrasive polishing pad on a second polishing platen of the polishing apparatus to remove residual materials disposed thereon.

[0018] In another aspect, the invention provides a method for planarizing a substrate surface, including chemical mechanical polishing the substrate surface with an abrasive-free first polishing composition with an abrasive-free polishing pad on a first polishing platen of a polishing apparatus to substantially remove bulk copper containing materials disposed on a substrate surface, and then chemical mechanical polishing the substrate surface with a second polishing composition with a fixed abrasive polishing pad on a second polishing platen of the polishing apparatus to remove residual copper containing materials.

[0019] In another aspect, the invention provides a method for processing a substrate, including providing a substrate having a barrier layer and a copper containing material disposed thereon to a first platen containing an abrasive-free polishing pad, polishing the substrate at a first removal rate with an abrasive-free first polishing composition to substantially remove the copper containing material disposed thereon, providing the substrate to a second platen containing a fixed abrasive polishing pad, and polishing the substrate at a second removal rate less than the first removal rate with a second polishing composition to remove residual copper containing materials disposed thereon.

[0020] In another aspect, the invention provides a system for processing substrates including a first platen adapted for polishing a substrate with an abrasive-free first polishing composition, an abrasive-free polishing pad disposed on the first platen, a second platen adapted for polishing the substrate with a second polishing composition, a fixed abrasive polishing pad disposed on the second platen and a computer based controller configured to cause the system to perform a method comprising polishing the substrate with an abrasive-free polishing pad until it is substantially planarized; and then polishing the substrate with a fixed abrasive polishing pad to remove residual materials disposed thereon. The system may further comprise a carousel, at least two substrate head assemblies suspended from the carousel and capable of holding a substrate thereon, and a positioning member coupled to the carousel to move the carousel and position the substrate head assemblies over a selected polishing platen.

[0021] Another aspect of the invention provides a computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a chemical mechanical system to perform polishing the substrate with an abrasive-free polishing pad until it is substantially planarized and then polishing the substrate with a fixed abrasive polishing pad on a second polishing platen of the polishing apparatus to remove residual materials disposed thereon.

BRIEF DESCRIPTION OF THE DRAWINGS

[0022] So that the manner in which the above recited aspects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.

[0023] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments:

[0024] FIG. 1 is a schematic view of a substrate illustrating the phenomenon of dishing;

[0025] FIG. 2 is a schematic perspective view of a chemical mechanical polishing apparatus;

[0026] FIG. 3 is a flow chart illustrating the processing steps according to one embodiment of the invention; and

[0027] FIGS. 4-6 are schematic diagrams of a substrate illustrating one embodiment of a process for planarizing a substrate surface described herein.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

[0028] In general, aspects of the invention provide an apparatus, a method, and compositions for polishing substrates while reducing dishing and minimizing scratching of the substrate surface. The invention will be described below in reference to the removal of conductive materials, such as copper containing materials, from a substrate surface by chemical mechanical polishing (CMP) techniques. CMP is broadly defined herein as polishing a substrate by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity.

[0029] FIG. 2 is a schematic perspective view of a chemical mechanical polishing system or apparatus 120 for performing the planarizing processes and for use with the CMP compositions described herein. The polishing apparatus 120 includes a lower machine base 122 with a table top 128 mounted thereon and a removable outer cover (not shown). The table top 128 supports a series of polishing stations, including a first polishing station 125a, a second polishing station 125b, a final polishing station 125c, and a transfer station 127. The transfer station 127 serves multiple functions, including, for example, receiving individual substrates 110 from a loading apparatus (not shown), washing the substrates, loading the substrates into carrier heads 180, receiving the substrates 110 from the carrier heads 180, washing the substrates 110 again, and transferring the substrates 110 back to the loading apparatus.

[0030] A computer based controller 190 is connected to the polishing system or apparatus 120 for instructing the system to perform one or more processing steps on the system, such as polishing a substrate or transferring a substrate in the polishing apparatus 120. In one embodiment, the invention may be implemented as a computer program-product for use with a computer system or computer based controller 190. The programs defining the functions of the preferred embodiment can be provided to a computer via a variety of signal-bearing media and/or computer readable media, which include but are not limited to, (i) information permanently stored on non-writable storage media (e.g., read-only memory devices within a computer such as read only CD-ROM disks readable by a CD-ROM or DVD drive; (ii) alterable information stored on a writable storage media (e.g., floppy disks within diskette drive or hard-disk drive); or (iii) information conveyed to a computer by communications medium, such as through a computer or telephone network, including wireless communication. Such signal-bearing media, when carrying computer-readable instructions that direct the functions of the invention, represent alternative embodiments of the present invention. It may also be noted that portions of the product program may be developed and implemented independently, but when combined together are embodiments of the present invention.

[0031] Each polishing station 125a-125c includes a rotatable platen 130 having a conventional polishing pad 100 or an fixed abrasive polishing pad 105 disposed thereon. In one aspect of the apparatus for a two-step copper containing material planarization process using a first abrasive-free CMP composition on a conventional pad and a second abrasive-free CMP composition on a fixed abrasive pad, the first polishing station 125a has a conventional polishing pad 100, and the second polishing station 125b has a fixed abrasive pad 105 as shown in FIG. 2. A third polishing station 125c having a conventional polishing pad 100 may be used for a barrier removal process following the two-step copper removal process.

[0032] The fixed-abrasive polishing pad 105 may in one embodiment include a multi-layers polishing pad. A lower layer may be attached to platen 30 by a pressure-sensitive adhesive layer and an upper layer 104 typically will be a 5-200 mil thick abrasive composite layer, composed of abrasive grains held or embedded in a binder material. The abrasive grains may have a particle size between about 0.1 and 1500 microns, and have a Mohs' hardness of at least 8. Examples of such grains include fused aluminum oxide, ceramic aluminum oxide, green silicon carbide, silicon carbide, chromia, alumina zirconia, diamond, iron oxide, ceria, cubic boron nitride, garnet and combinations thereof. The binder material may be derived from a precursor which includes an organic polymerizable resin which is cured form the binder material. Examples of such resins include phenolic resins, ureaformaldehyde resins, melamine formaldehyde resins, acrylated urethanes, acrylated epoxies, ethylenically unsaturated compounds, aminoplast derivatives having at least one pendant acrylate group, isocyanurate derivatives having at least one pendant acrylate group, vinyl ethers, epoxy resins, and combinations thereof. The lower layer typically will be a 25-200 mil thick backing layer, composed of a material such as a polymeric film, paper, cloth, a metallic film or the like.

[0033] Fixed-abrasive polishing pads are described in detail in the following U.S. patents, all of which are incorporated by reference to the extent not inconsistent with the invention as claimed and described herein: U.S. Pat. No. 5,152,917, issued on Oct. 6, 1992, and entitled “Structured Abrasive Article”; U.S. Pat. No. 5,342,419, issued on Aug. 30, 1994, and entitled “Abrasive Composites Having A Controlled Rate Of Erosion, Articles Incorporating Same, And Methods Of Making And Using Same”; U.S. Pat. No. 5,368,619, issued on Nov. 29, 1994, and entitled “Reduced Viscosity Slurries, Abrasive Articles Made Therefrom And Methods Of Making Said Articles”; and U.S. Pat. No. 5,378,251, issued on Jan. 3, 1995, and entitled “Abrasive Articles And Method Of Making And Using Same”. Fixed-abrasive pads are available from 3M Corporation of Minneapolis, Minn. and Rodel Inc., of Phoenix, Ariz.

[0034] The platen may support a conventional polishing pad or “abrasive-free” polishing pad 100, i.e., a polishing pad that does not have embedded abrasive particles, having a smooth polishing surface or a roughened polishing surface. In some embodiments, the abrasive-free polishing pad may include a single soft layer attached to a platen 30 by a pressure-sensitive adhesive layer. The polishing pad 100 may be composed of a napped poromeric synthetic material. A suitable soft polishing pad is available from Rodel, Inc., of Newark, Del., under the trade name Politex. Polishing pad 100 may be embossed or stamped with a pattern to improve distribution of slurry across the face of the substrate.

[0035] Alternatively, polishing pad 100 may be a standard two-layer pad in which the upper layer has a durable roughened surface and is harder than the lower layer. For example, the upper layer of the two-layer pad may be composed of microporous polyurethane or polyurethane mixed with a filler, whereas the lower layer maybe composed of compressed felt fibers leached with urethane. Both the upper and lower layers may be approximately fifty mils thick. A two-layer standard pad, with the upper layer composed of IC-1000 and the lower layer composed of SUBA-4, is available from Rodel (IC-1000 and SUBA-4 are product names of Rodel, Inc.).

[0036] A rotatable linear platen may be used for the second polishing station 125b. An example of a linear polishing system, and an example of a polishing system having a rotatable polishing pad and a rotatable linear platen, is more fully described in co-pending U.S. patent application Ser. No. 09/244,456, filed on Feb. 4, 1999, and incorporated herein by reference to the extent not inconsistent with the invention. Alternatively, a stationary platen or a rotatable or linear platen having a stationary pad may be used for the first, second, or third, polishing stations 125a, 125b, and 125c.

[0037] The invention also contemplates the use of an orbital polishing process or orbital polishing platen for the first, second, and/or third polishing stations 125a, 125b, 125c. A substrate and polishing pad can be moved in an orbital relative motion in a linear drive system where the pad is stationary; an example of a apparatus capable of performing the orbital relative motion between the polishing pad and substrate is the Model 8200, available from Applied Materials Inc., of Santa Clara, Calif.

[0038] Each platen 130 may be a rotatable aluminum or stainless steel platen connected to a platen drive motor (not shown). The polishing stations 125a-125c may include a pad conditioner apparatus 140. The pad conditioner apparatus 140 has a rotatable arm 142 holding an independently rotating conditioner head 144 and an associated washing basin 146. The pad conditioner apparatus 140 maintains the condition of the polishing pad so that it will effectively polish the substrates. Each polishing station may include a conditioning station if the CMP apparatus is used with other pad configurations.

[0039] The polishing stations 125a-125c may each have a composition delivery/rinse arm 152 that includes two or more supply tubes to provide one or more CMP compositions, cleaning compositions, and/or water to the surface of the polishing pad. The composition delivery/rinse arm 152 delivers the one or more chemical slurries in amounts sufficient to cover and wet the entire polishing pad. Each composition delivery/rinse arm 152 also includes several spray nozzles (not shown) that can provide a high-pressure fluid rinse on to the polishing pad at the end of each polishing and conditioning cycle. Furthermore, two or more intermediate washing stations 155a, 155b, and 155c may be positioned between adjacent polishing stations 125a, 125b, and 125c to clean the substrate as it passes from one station to the next.

[0040] A rotatable multi-head carousel 160 is positioned above the lower machine base 122. The carousel 160 includes four carrier head systems 170a, 170b, 170c, and 170d. Three of the carrier head systems receive or hold the substrates 110 by pressing them against the polishing pads 100 or 105 disposed on the polishing stations 125a-125c. One of the carrier head systems 170a-170d receives a substrate from and delivers a substrate 110 to the transfer station 127. The carousel 160 is supported by a center post 162 and is rotated about a carousel axis 164 by a motor assembly (not shown) located within the machine base 122. The center post 162 also supports a carousel support plate 166 and a cover 188.

[0041] The four carrier head systems 170a-170d are mounted on the carousel support plate 166 at equal angular intervals about the carousel axis 164. The center post 162 allows the carousel motor to rotate the carousel support plate 166 and orbit the carrier head systems 170a-170d about the carousel axis 164. Each carrier head system 170a-170d includes one carrier head 180. A carrier drive shaft 178 connects a carrier head rotation motor 176 (shown by the removal of one quarter of the cover 188) to the carrier head 180 so that the carrier head 180 can independently rotate about its own axis. There is one carrier drive shaft 178 and motor 176 for each head 180. In addition, each carrier head 180 independently oscillates laterally in a radial slot 172 formed in the carousel support plate 166.

[0042] The carrier head 180 performs several mechanical functions. Generally, the carrier head 180 holds the substrate 110 against the polishing pads 100 or 105, evenly distributes a downward pressure across the back surface of the substrate 110, transfers torque from the drive shaft 178 to the substrate 110, and ensures that the substrate 110 does not slip out from beneath the carrier head 80 during polishing operations.

[0043] To facilitate control of the system as described above, the controller 190 may include a CPU 192 of FIG. 2, which CPU 192 may be one of any form of computer processors that can be used in an industrial setting for controlling various chambers and subprocessors. The memory 194 is coupled to the CPU 192. The memory 194, or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. For storing information and instructions to be executed by the CPU 192.

[0044] The support circuits 196 are coupled to the CPU 192 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and can include input devices used with the controller 190, such as keyboards, trackballs, a mouse, and display devices, such as computer monitors, printers, and plotters. Such controllers 190 are commonly known as personal computers; however, the present invention is not limited to personal computers and can be implemented on workstations, minicomputers, mainframes, and supercomputers.

[0045] A process, for example a polishing process described below, is generally stored in the memory 194, typically as a software routine. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 192.

[0046] Although the process of the present invention is discussed as being implemented as a software routine, some or all of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.

[0047] Chemical Mechanical Polishing Process and Composition

[0048] In one aspect of the invention, a method is provided for planarizing a substrate surface, comprising polishing the substrate with a first abrasive-free CMP composition to substantially remove bulk copper containing materials disposed on a substrate surface and polishing the substrate with a second abrasive-free CMP composition on a fixed abrasive polishing pad to remove residual copper containing materials.

[0049] Bulk copper containing material is broadly defined herein as copper containing material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface. Bulk copper containing material can include copper, copper alloys, and/or doped copper. Residual copper containing materials is broadly defined as any bulk copper containing material remaining after one or more polishing process steps. Residual copper containing material can include copper, copper alloys, and/or doped copper as well as by-products, such as copper oxides, of copper containing materials removed from the substrate surface. Residual copper containing material may partially or completely cover the surface a substrate, for example, a portion of the underlying barrier layer may be exposed when residual material is retained after a polishing step, or alternatively, no barrier layer may be exposed after a polishing process has been performed.

[0050] The substrate surface generally comprises a dielectric layer with feature definitions formed therein, a barrier layer deposited on the dielectric layer, and a copper containing material deposited on the barrier layer. The copper containing material includes copper, copper alloys, or doped copper. As used throughout this disclosure, the phrase “copper containing material” and the symbol Cu are intended to encompass high purity elemental copper as well as doped copper and copper-based alloys, e.g., doped copper and copper-based alloys containing at least about 80 wt. % copper. The barrier layer material includes tantalum, tantalum nitride, tantalum silicon nitride. The invention described herein also contemplates the use of other barrier materials known in the art, such as titanium, titanium nitride, tantalum derivatives, and titanium silicon nitride, titanium derivatives, and other conventional barrier materials.

[0051] The dielectric layer can comprise any of various dielectric materials conventionally employed in the manufacture of semiconductor devices. For example, dielectric materials, such as silicon dioxide, phosphorus-doped silicon glass (PSG), boronphosphorus-doped silicon glass (BPSG), and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD) can be employed. The dielectric layer can also comprise low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polymides, and carbon-containing silicon dioxide. The openings are formed in interlayer dielectrics by conventional photolithographic and etching techniques.

[0052] In one aspect of the invention, a two step planarizing process for removing conductive materials and conductive material residues from a substrate surface is provided. In the first step, a first abrasive-free composition is used with a conventional polishing pad to remove bulk copper containing material. A second abrasive-free composition removes residual copper containing material remaining from the bulk copper containing material removal process, and which residual copper containing material removal process advantageously stops on the underlying barrier layer, thereby planarizing the surface of the substrate.

[0053] The bulk copper containing material can be removed using a first polishing composition, such as an abrasive-free polishing composition capable of removing copper containing material with minimal removal of a barrier material. One example of a suitable first polishing composition includes one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, one or more pH adjusting agents, a pH of about neutral, and deionized water. The first polishing composition may also further includes abrasive particles. The first polishing composition is well suited for removing copper containing materials deposited to fill features formed on a substrate surface described above.

[0054] The one or more chelating agents may include compounds having one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide. The one or more chelating agents may also include amino acids or amino acid derivatives, such as glycine, and carboxylic acids having one or more acids groups, such as citric acid or maleic acid. The one or more chelating agents can be present in an amount between about 0.02 volume percent (vol %) and about 4.0 vol % of the first polishing composition. In one aspect of the invention, the chelating agent comprises between about 0.2 wt. % and about 1.5 wt. % of the first polishing composition. The chelating agent chemically reacts with metal ions to form a metal complex which improves removal of material removed from the substrate surface.

[0055] The one or more oxidizers can be any of various conventional oxidizers employed in the first polishing compositions and processes, such as hydrogen peroxide, ferric nitrate, or other compounds such as iodates. The oxidizers can be present in an amount between about 0.2 vol % and about 8.0 vol % of the first polishing composition. A concentration between about 0.2 wt. % and about 8 wt. % of the oxidizers is used in one embodiment of the first polishing composition.

[0056] Examples of corrosion inhibitors include any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-1-benzotriazole. The corrosion inhibitors can be present in an amount between about 0.02 vol % and about 1.0 vol % of the first polishing composition.

[0057] The pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the first polishing composition to a range between about 2.5 and about 11 and can comprise any of various bases, such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid. In one aspect of the invention, the pH is adjusted to provide a pH and oxidation-reduction potential in the domain of passivation of the polished material, for example, in the domain of passivation of copper. Additionally, other chelating agents, oxidizers, corrosion inhibitors, and pH adjusting agents are contemplated for use with the invention. The above specified components are illustrative and should not be construed as limiting the invention.

[0058] Alternatively, aspects of the invention may include adding abrasive particles to the first polishing composition described herein. Compositions containing abrasives particles may comprise an abrasive particle concentration of about 35 wt. % or less of the first polishing composition. Alternatively, a concentration between about 2 wt. % or less of abrasive particles is included in first polishing compositions containing the one or more surfactants described herein and are considered to be abrasive free compositions. Examples of abrasive particles include silica alumina, zirconium oxide, titanium oxide, cerium oxide, or any other abrasives known in the art and used in conventional CMP compositions.

[0059] Suitable polishing compositions for removing the bulk copper containing material in the first polishing step are more fully described in co-pending U.S. patent application Ser. No. 09/606,544 filed on Jun. 30, 2000, and in co-pending U.S. patent application Ser. No. 09/608,078 filed on Jun. 30, 2000, and incorporated herein by reference to the extent not inconsistent with the invention. Suitable polishing compositions for removing the bulk copper containing material in the first polishing step are HC 430-A1-3, HS-C430-A3, HS-C435, HS-A2, commercially available from Hitachi Chemical Co. Ltd., of Japan.

[0060] An example of an abrasive-free first polishing composition described herein includes between about 0.3 vol % and about 3 vol % of ethylenediamine as a chelating agent, between about 0.5 vol % and about 5.0 vol % hydrogen peroxide as the oxidizer, between about 0.02 vol % and about 0.15 vol % benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water.

[0061] A second polishing composition may be used with a fixed-abrasive polishing pad in a second planarizing step to remove residual copper containing material from the substrate. One example of the second polishing composition is the polishing composition including one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, one or more pH adjusting agents, a pH of about neutral, and deionized water, described above. Examples of polishing compositions suitable for use with fixed abrasive copper polishing for removing the copper containing material in the second polishing step are more fully described in co-pending U.S. patent application Ser. No. 09/543,777 filed on May 5, 2000, and in co-pending U.S. patent application Ser. No. 09/544,281 filed on Apr. 6, 2000, and incorporated herein by reference to the extent not inconsistent with the invention.

[0062] One example of the second polishing composition described herein includes between about 0.3 vol % and about 3 vol % of ethylenediamine as a chelating agent, between about 0.5 vol % and about 5.0 vol % hydrogen peroxide as the oxidizer, between about 0.02 vol % and about 0.15 vol % benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water.

[0063] A suitable commercial second polishing composition for use with the process described herein is available from 3M of St. Paul, Minn. The 3M commercial composition includes between about 2.5 wt. % and about 3 wt. % of ammonium hydrogen phosphate, between about 0.5 wt. % and about 1 wt. % of iminodiacetic acid, between about 0.04 wt. % and about 0.05 wt. % benzotriazole, between about 9 wt. % and about 11 wt. % hydrogen peroxide, and distilled water.

[0064] The second polishing composition used in the second step also removes copper containing material at a removal rate less than the removal rate of the abrasive-free first polishing composition. The first polishing composition removes the copper containing material at a rate between about 4000 Å and about 10000 Å per minute, and the second polishing composition removes the copper containing material at a rate up to about 4000 Å per minute.

[0065] It is believed that the two-step process reduces dishing of the copper containing material disposed in the feature reduces scratching of the substrate surface during chemical mechanical polishing of the substrate surface. Finally, the ability to planarize using an abrasive-free process or a process utilizing a very low concentration of abrasives results in lower production and operation costs. The process is also compatible with the requirements for manufacturing throughput on a large scale, and is fully compatible with all other aspects of conventional polishing technology utilized in the manufacture of high integration density semiconductor devices.

[0066] FIG. 3 is a flow chart illustrating one embodiment of a process for utilizing the apparatus described herein to remove copper containing materials in a two-step planarization process. A substrate is positioned on a first platen containing an abrasive-free polishing pad at step 200, and typically includes positioning a substrate on abrasive-free polishing pad 100 disposed on platen 130 in polishing station 125a. A first polishing composition is supplied to the polishing pad 100 at step 210. Bulk copper containing materials are then removed from the surface of the substrate by polishing the substrate at step 220.

[0067] In the polishing process, the carousel 160 positions the substrate in contact with the polishing pad 100, and the substrate and the polishing pad move relative to one another with the composition distributed therebetween to effect chemical and mechanical activity on the substrate, and then the substrate is typically removed from contact with the polishing pad 100.

[0068] The abrasive-free polishing pad is moved relative to the substrate, such as rotated at a rate between about 20 rpm and about 150 rpm for a polishing pad disposed on a rotatable platen. In an alternative embodiment, the polishing surface is a non-rotary surface, e.g., a linear polishing system, using a sliding or circulating polishing belt or similar device.

[0069] The first polishing composition removes substantially all of the bulk copper containing materials formed thereon. The first polishing composition may be an abrasive free polishing composition including one or more chelating agents, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, one or more pH adjusting agents, a pH of about neutral, and deionized water, and optionally, a pH adjusting agent and/or abrasive particles, all of which are described herein.

[0070] The first polishing composition is delivered or supplied to the abrasive-free polishing pad at a flow rate between about 50 ml/min and about 500 ml/min from a storage medium disposed in or near the CMP apparatus. The substrate and abrasive-free polishing pad are typically exposed to the first polishing composition for a period of time sufficient to remove substantially all of the bulk copper containing material disposed thereon.

[0071] For example, the abrasive-free polishing pad may be exposed to the first polishing composition between about 60 seconds and about 180 seconds, but may vary depending upon the material being removed, the concentration of the components of the first polishing composition, and the amount or thickness of bulk copper containing material on the substrate. A pressure between about 0.5 psi and about 6.0 psi between the substrate and the polishing pad is used to provide mechanical activity to the polishing process. The copper containing material is removed at a rate between about 4000 Å/min and about 10000 Å/min.

[0072] Bulk copper containing material not removed in the above process may remain as residual copper containing material on the substrate surface. The copper containing material and the residual copper containing materials typically comprises copper (Cu), Cu(I), Cu(II), copper oxide (CuO), and combinations thereof.

[0073] The substrate is then positioned on a second platen containing a fixed abrasive polishing pad at step 230, and typically includes positioning the substrate on the fixed abrasive polishing pad 105 at polishing station 125b. A second polishing composition is supplied to the polishing pad 105 at step 240. Residual copper containing materials are then removed from the surface of the substrate by polishing the substrate at step 250.

[0074] In the chemical mechanical polishing process, the carousel 160 positions the substrate in contact with the polishing pad 105, and the substrate and the fixed abrasive polishing pad move relative to one another with the composition distributed therebetween to effect chemical and mechanical activity on the substrate, and then the substrate is typically removed from contact with the polishing pad 100.

[0075] The polishing pad is moved relative to the substrate, such as rotated at a rate between about 20 rpm and about 150 rpm for a polishing pad disposed on a rotatable platen. In an alternative embodiment, the polishing surface is a non-rotary surface, e.g., a linear polishing system, using a sliding or circulating polishing belt or similar device.

[0076] The second CMP composition removes the residual copper containing materials described herein that may be formed thereon. The second CMP composition includes one or more chelating agents one or more oxidizers, one or more corrosion inhibitors, one or more pH adjusting agents, a pH of about neutral, and deionized water as described herein for the second polishing composition.

[0077] The second polishing composition is delivered or supplied to the fixed abrasive polishing pad at a flow rate between about 50 ml/min and about 500 ml/min from a storage medium disposed in or near the CMP apparatus. The substrate and fixed abrasive polishing pad are typically exposed to the second polishing composition for a period of time sufficient to remove the residual copper containing materials disposed thereon and provide for overpolishing of the substrate surface to further remove defects formed thereon. For example, the fixed abrasive polishing pad may be exposed to the second polishing composition between about 60 seconds and about 180 seconds, but may vary depending upon the material being removed, the concentration of the components of the second polishing composition, and the amount or thickness of copper containing materials on the substrate. A pressure between about 0.5 psi and about 6.0 psi between the substrate and the polishing pad is used to provide mechanical activity to the CMP process. The copper containing material is removed at a rate up to about 4000 Å/min.

[0078] Optionally, a cleaning solution may be applied to the polishing pad during or subsequent each of the polishing process to remove particulate matter and spent reagents from the polishing process as well as help minimize metal residue deposition on the polishing pads and defects formed on a substrate surface. An example of a suitable cleaning solution is Electra Clean™ commercially available from Applied Materials, Inc., of Santa Clara, Calif.

[0079] The substrate may then be positioned on a third platen containing a barrier removal polishing pad at step 260, and typically includes positioning a substrate on abrasive-free polishing pad 100 disposed on platen 130 in polishing station 125c. A barrier removal polishing composition is then supplied to the polishing pad 100 and barrier layer materials are then removed from the surface of the substrate by a polishing process on the substrate at step 270. The barrier removal polishing composition may be an abrasive free composition. Barrier removal polishing compositions that may be used in the practice of the process described herein are commercially available from Hitachi Chem Co. Ltd., of Japan, Cabot Corp. of Aurora, Ill., and Rodel Inc., of Phoenix, Ariz. One example of commercially available formula suitable for polishing a barrier layer material is T-605, available from Hitachi Chemical Co. Ltd., of Japan.

[0080] FIGS. 4-6 are a series of schematic cross-sectional views of a substrate illustrating sequential phases of a process for forming an in-laid metallization pattern utilizing the two-step planarization process described herein.

[0081] Referring to FIG. 4, the substrate includes a dielectric layer 310, such as a silicon oxide or a carbon-doped silicon oxide, formed on a substrate 300. A plurality of openings 311 patterned and etched into the dielectric in area A forming features for a dense array of conductive lines with area B being unetched. Typically, the openings 311 are spaced apart by a distance C which can be less than about 1 micron, such as about 0.2 micron, or greater than 10 microns, such as 20 microns. The openings 311 were formed in the dielectric layer 310 by conventional photolithographic and etching techniques. A barrier layer 312 of a conductive material, such as Ta or TaN for a copper metallization, is disposed conformally in openings 311 and on the upper surface of the dielectric layer 310. A copper layer 313 is disposed on the barrier layer at a thickness (D) between about 8,000 Å and about 18,000 Å.

[0082] Referring to FIG. 5, the bulk copper material 314 of the copper layer 313 is removed using a CMP copper polishing process with the first abrasive-free CMP composition described herein. The first CMP composition removes the copper layer 313 to the tantalum barrier layer 312. However, residual copper containing material 315 may remain on the substrate following the bulk copper containing material removal process. To remove the residual copper containing material, a second abrasive-free CMP composition is used with a fixed-abrasive polishing pad, which allows for effective removal of the copper layer 313 to the tantalum layer 312, minimizes dishing of the copper later 313, and minimizes formation of a non-planar surface.

[0083] Referring to FIG. 6, the barrier layer is removed by a polishing process. The barrier layer removal process typically removes the barrier layer 312 from the substrate 300 while minimizing dishing of any copper material 313 filling the apertures, and typically stops on the dielectric layer to prevent excessive etching of the dielectric material, thereby completing planarization. Additionally, the dielectric layer 310 may be polished or buffed during the barrier layer CMP process to remove or reduce scratching or defects formed on the substrate surface. The resulting copper features comprises a dense array (A) of copper lines 313 bordered by open field B and the planar surface 314 of the copper metallization and substrate 300.

EXAMPLE

[0084] An example of a two-step polishing process according to aspects of the invention described herein is as follows. A substrate including a dielectric layer with feature definitions formed therein, a tantalum barrier layer conformally deposited on the low k dielectric layer and in the feature definitions formed therein, and a copper containing layer deposited on the barrier layer and filling the feature definitions formed therein is provided to the CMP apparatus disclosed above.

[0085] The substrate is positioned over a first polishing pad of a first platen having an abrasive-free polishing pad disposed therein, and an abrasive-free first polishing composition is delivered to the polishing pad. An example of an abrasive-free first polishing composition described herein includes HS-C430-A3 commercially available from Hitachi Chemical Co., of Japan. Alternatively, the first polishing composition includes about 1.2 vol % ethylenediamine, about 1.5 vol % hydrogen peroxide, about 0.15 vol % benzotriazole as the corrosion inhibitor, sufficient phosphoric acid to produce a pH level of about 7, and distilled water.

[0086] The substrate is then polished for a requisite amount of time at a rate between about 4000 Å/minute and about 10000 Å/minute to sufficiently remove the bulk of the copper containing material. A pressure between about 0.5 psi and about 6.0 psi between the substrate and the polishing pad is used to provide mechanical activity to the CMP process.

[0087] The substrate is then transferred to a second polishing pad on a second platen having a fixed abrasive polishing pad disposed therein, and a second polishing composition is delivered to the polishing pad. An example of a second polishing composition described herein is a commercially available polishing composition available from 3M of St. Paul, Minn., which includes between about 2.5 wt. % and about 3 wt. % of ammonium hydrogen phosphate, between about 0.5 wt. % and about 1 wt. % of iminodiacetic acid, between about 0.04 wt. % and about 0.05 wt. % benzotriazole, between about 9 wt. % and about 11 wt. % hydrogen peroxide, and distilled water. Alternatively, the second polishing composition described herein includes between about 0.8 vol % ethylenediamine, about 1.0 vol % of hydrogen peroxide, about 0.2 vol % benzotriazole, sufficient phosphoric acid to produce a pH between of about 5.5, and deionized water.

[0088] The substrate is then polished for a requisite amount of time at a rate up to about 4000 Å/minute to sufficiently remove the residue of the copper containing material. A pressure between about 0.5 psi and about 6.0 psi between the substrate and the polishing pad is used to provide mechanical activity to the CMP process.

[0089] The substrate is then transferred to a third polishing pad on a third platen having an abrasive-free polishing pad disposed therein, and a barrier layer polishing composition is delivered to the polishing pad to remove the barrier layer material and planarize the surface of the substrate. The barrier removal polishing composition may be an abrasive free polishing composition.

[0090] While the foregoing is directed to the one or more embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow including their equivalents.

Claims

1. A method for polishing a substrate, comprising:

polishing the substrate with an abrasive-free polishing pad until it is substantially planarized; and then
polishing the substrate with a fixed abrasive polishing pad to remove residual materials disposed thereon.

2. The method of claim 1, wherein the abrasive-free polishing pad is disposed on a first platen of a polishing apparatus and the fixed abrasive polishing pad is disposed on a second platen of the polishing apparatus.

3. The method of claim 2, further comprising supplying a first polishing composition to the first platen and supplying a second polishing composition to the second platen.

4. The method of claim 1, further comprising polishing the substrate to planarize a barrier layer disposed on the substrate.

5. The method of claim 4, wherein polishing the substrate to planarize a barrier layer comprises supplying with a third polishing composition to a third platen of the polishing apparatus and polishing the substrate with a second abrasive-free polishing pad disposed on the third platen.

6. A method for planarizing a substrate surface, comprising:

chemical mechanical polishing the substrate surface with an abrasive-free first polishing composition with an abrasive-free polishing pad on a first polishing platen of a polishing apparatus to substantially remove bulk copper containing materials disposed on a substrate surface; and then
chemical mechanical polishing the substrate surface with a second polishing composition with a fixed abrasive polishing pad on a second polishing platen of the polishing apparatus to remove residual copper containing materials.

7. The method of claim 6, wherein the bulk copper containing materials and the residual copper containing materials comprise copper, doped copper, or copper alloys.

8. The method of claim 6, further comprising chemical mechanical polishing the substrate with an abrasive-free third polishing composition on a second abrasive free polishing pad at a third platen of the polishing apparatus to planarize a barrier layer disposed on the substrate.

9. A method for processing a substrate, comprising:

providing a substrate having a barrier layer and a copper containing material disposed thereon to a first platen containing an abrasive-free polishing pad;
polishing the substrate at a first removal rate with an abrasive-free first polishing composition to substantially remove the copper containing material disposed thereon;
providing the substrate to a second platen containing a fixed abrasive polishing pad; and
polishing the substrate at a second removal rate less than the first removal rate with a second polishing composition to remove residual copper containing materials disposed thereon.

10. The method of claim 9, wherein the first removal rate comprises removing the copper containing material between about 4000 Å and about 10000 Å per minute.

11. The method of claim 9, wherein the second removal rate comprises removing the copper containing material residue up to about 4000 Å per minute.

12. The method of claim 9, further comprising supplying a first polishing composition to the first platen and supplying a second polishing composition to the second platen.

13. The method of claim 9, further comprising providing the substrate to a third platen containing a second abrasive-free polishing pad disposed thereon and polishing the substrate to remove the barrier layer.

14. A system for processing substrates, comprising:

a first platen adapted for polishing a substrate with an abrasive-free first polishing composition;
an abrasive-free polishing pad disposed on the first platen;
a second platen adapted for polishing the substrate with a second polishing composition;
a fixed abrasive polishing pad disposed on the second platen; and
a computer based controller configured to cause the system to perform a method comprising:
polishing the substrate with an abrasive-free polishing pad until it is substantially planarized; and then
polishing the substrate with a fixed abrasive polishing pad to remove residual materials disposed thereon.

15. The system of claim 14, further comprising a third platen adapted for polishing a barrier layer on the substrate with an abrasive-free third polishing composition, and an abrasive-free polishing pad disposed on third platen.

16. The system of claim 15, wherein the first, second, or third platen comprises a rotational, stationary or linear polishing platform.

17. The system of claim 14, wherein the computer based controller configured to cause the system to perform a method further comprising polishing the barrier layer on the substrate with an abrasive-free polishing pad until it is substantially planarized.

18. The system of claim 14, further comprising:

a carousel;
at least two substrate head assemblies suspended from the carousel and capable of holding a substrate thereon; and
a positioning member coupled to the carousel to move the carousel and position the substrate head assemblies over a selected platen.

19. A computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a chemical mechanical system to perform:

(a) polishing the substrate with an abrasive-free polishing pad until it is substantially planarized; and then
(b) polishing the substrate with a fixed abrasive polishing pad to remove residual materials disposed thereon.

20. The computer readable medium of claim 19, wherein the instructions are arranged for polishing the substrate with the abrasive-free polishing pad on a rotating, stationary or linear first platen and polishing the substrate with the fixed abrasive polishing pad on a rotating, stationary or linear second platen.

21. The computer readable medium of claim 19, wherein the instructions are arranged for polishing the substrate at a first removal rate with the abrasive-free first polishing composition to substantially remove the bulk copper containing material disposed thereon, providing the substrate to a second platen containing a fixed abrasive polishing pad, and polishing the substrate at a second removal rate less than the first removal rate with a second composition to remove residual copper containing materials disposed thereon.

22. The computer readable medium of claim 19, wherein the instructions are further arranged for chemical mechanical polishing the substrate to remove a barrier layer disposed on the substrate with an abrasive-free third polishing composition abrasive-free polishing pad mounted on a rotating, stationary or linear third platen.

23. The computer readable medium of claim 19, wherein a computer based control system is used to sequence and control the instructions for planarizing a substrate surface contained in the computer readable medium.

Patent History
Publication number: 20020173221
Type: Application
Filed: Mar 14, 2001
Publication Date: Nov 21, 2002
Applicant: Applied Materials, Inc.
Inventors: Shijian Li (San Jose, CA), John White (Hayward, CA), Lizhong Sun (San Jose, CA), Stan Tsai (Fremont, CA)
Application Number: 09808662
Classifications
Current U.S. Class: Computer Controlled (451/5)
International Classification: B24B049/00;