Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same

- EKC Technology, Inc.

The present invention provides a composition for chemical-mechanical polishing which comprises an oxidizing agent, an abrasive, and a Fenton's reagent. The oxidizing agent comprises a per compound, such as periodic acid, a peroxide, or a persulfate. The abrasive comprises a metal oxide, such as colloidal silica, alumina, or spinel. The Fenton's reagent comprises a metal selected from a group consisting of metals in Group 1(b) and Group 8, such as iron, copper and silver. The composition is believed to be effective by virtue of the interaction between the oxidizing agent and the Fenton's reagent that is at least partially linked to the surface of the abrasive. The invention further provides a method that employs the composition in the polishing of a feature or layer, such as a metal film, on a substrate surface. The invention additionally provides a substrate produced this method.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATION

[0001] This application is a continuation-in-part of co-pending U.S. application Ser. No. 10/074,757 of Robert J. Small and Brandon S. Scott, entitled Catalytic Composition for Chemical-Mechanical Polishing, Method of Using Same, and Substrate Treated with Same, filed on Feb. 11, 2002, which is incorporated herein in its entirety by this reference.

FIELD OF THE INVENTION

[0002] The invention relates generally to a composition that is usefully employed in chemical-mechanical polishing processes, and an associated method of polishing a substrate. More particularly, the invention relates to a composition comprising an oxidizing agent, an abrasive, and a Fenton's reagent. The composition is useful in the polishing of various layers, such as metal layers, on substrates.

BACKGROUND OF THE INVENTION

[0003] A semiconductor wafer, such as a silicon or a gallium arsenide wafer, generally has a substrate surface on which one or more integrated circuits is formed. The substrate surface is desirably as flat, or planar, as possible before the surface is processed to form the integrated circuits. A variety of semiconductor processes are used to form the integrated circuits on the flat surface, during which the wafer takes on a defined topography. If this topography is too irregular or includes surface imperfections, fabrication processes, such as photolithography, are often compromised, and the resulting semiconductor device is often inoperable or highly subject to failure. Thus, it is often necessary to polish the wafer surface to render it as planar or uniform as possible and to remove surface imperfections.

[0004] Chemical-mechanical polishing or planarization (CMP) processes are well-known. See, for example, Chemical Mechanical Polishing in Silicon Processing, Semiconductors and Semimetals, Vol. 62, Edited by Li, S. et al., which is expressly incorporated herein by this reference. CMP processes are commonly used to polish or “planarize” the surfaces of wafers at various stages of fabrication to improve wafer yield, performance and reliability. In CMP, typically the wafer is held in place on a carrier using negative pressure, such as vacuum, or hydrostatic or pneumatic pressure. The carrier is typically situated over a polishing pad that is situated on a platen. CMP generally involves applying a polishing composition or slurry to the polishing pad, establishing contact between the wafer surface and the polishing pad, and applying a down-force pressure on the wafer carrier while providing relative motion, typically rotational or orbital motion, between the wafer surface and the polishing pad. Typically, this relative motion involves movement of both the carrier and the platen at the same or different speeds.

[0005] The polishing composition typically contains an abrasive material, such as silica and/or alumina particles, in an acidic, neutral, or basic solution. Merely by way of example, a polishing composition useful in the CMP of tungsten material on a substrate may contain abrasive alumina (Al2O3), also called aluminum oxide, an oxidizing agent such as hydrogen peroxide (H2O2), and either potassium hydroxide (KOH) or ammonium hydroxide (NH4OH). A CMP process employing such a polishing composition may provide a predictable rate of polishing, while largely preserving desirable insulation features on the wafer surface.

[0006] CMP is used in a variety of semiconductor processes to polish wafers having a variety of surface features, such as oxide and/or metal layers. By way of example, often the surface of a semiconductor wafer has insulation or oxide features, the grooves or stud vias of which are filled with a metal or metal alloy. Typical filler metals or alloys include aluminum, copper, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, or any combination of these metals or alloys. For such a semiconductor wafer, a typical CMP process involves polishing the metal in a controlled manner to “stop on” the oxide beneath the metal, such that the metal is substantially coplanar with the oxide and remains in the grooves or stud vias of the oxide. After CMP, the substantially coplanar surface is ready for further processing. CMP is currently the primary method used to polish or “planarize” wafers in back end of the line (BEOL) processes.

[0007] Semiconductor fabrication processes such as photolithography have evolved significantly, such that advanced devices having very fine oxide, metal, and other surface features, with sub-0.25 micron geometries (such as 0.18 micron or less), are now being made. Process tolerances are necessarily tighter for these advanced devices, calling for improvements in CMP technology to obtain desired material removal rates while minimizing wafer defects or damage. A variety of approaches have been taken in an effort to improve CMP processes.

[0008] One approach has involved increasing the down-force pressure on the wafer carrier in order to increase material removal rates. This approach is generally disfavored as the requisite down-force pressure is considered too high and too likely to cause wafer damage, such as scratching, delamination, or destruction of material layers on the wafer. When the wafer is fragile, as is generally the case with substrates layered with films, such as porous films, having a low dielectric constant, these damage issues are particularly acute and detrimental in terms of wafer yield and performance.

[0009] Another approach has involved increasing the amount of oxidizing agent used in the CMP slurry in an effort to increase chemical removal of targeted material. This approach is largely disfavored as the use of increased amounts of oxidizing agents detrimentally add to the handling issues and environmental issues associated with many oxidizing agents and thus increase costs. Attempts to catalyze the oxidizing agent to increase removal rates have also had limited success. Additional approaches have involved using a combination of CMP slurries, including for example, a zirconium slurry, a combination of abrasive particles in a CMP slurry, and/or using point-of-use mixing techniques. These approaches are generally undesirable, as they typically complicate CMP in terms of tooling and process control for example, consume more process time, and/or increase costs.

[0010] Further developments in the field of CMP technology are desired. One promising development involves a CMP composition comprising an oxidizing agent and an abrasive particle that is at least partially coated with a metal catalyst, as disclosed in co-pending U.S. application Ser. No. 10/074,757 of Small et al. Additional developments in CMP technology are desired.

SUMMARY OF THE INVENTION

[0011] The present invention provides a composition for chemical-mechanical polishing which comprises at least one oxidizing agent, at least one abrasive, and at least one Fenton's reagent. The oxidizing agent generally comprises a per compound, such as, for example, periodic acid or a peroxide. The abrasive generally comprises a metal oxide, such as, for example, silica, alumina, or a spinel, such as an iron-containing spinel. The Fenton's reagent generally comprises a metal from Group 1(b) or Group 8 of the Periodic Table of Elements, such as, for example, iron, copper or silver, and is at least partially linked to the abrasive. It is believed that the Fenton's reagent interacts favorably with the oxidizing agent to generate free radicals that facilitate the chemical-mechanical polishing of metal features, such as, for example, tungsten or copper features, on silicon or semiconductor wafers.

[0012] The composition may contain a variety of additives, such as a typical abrasive (i.e., an abrasive free of a catalyst or Fenton's reagent), a surfactant, a stabilizing agent, a dispersing agent, a complexing agent, a polish-enhancing agent, and/or a pH-adjusting agent. The pH level of the composition should be from about pH 2 to about pH 7, and preferably, from about pH 3 to about pH 4.

[0013] The composition of the present invention is effective in the CMP of a variety of metal or metal alloy materials on substrates such as silicon or semiconductor substrates. It is theorized that the Fenton's reagent that is linked to the abrasive and the oxidizing agent react to generate free radicals that are effective intermediates in the reaction between the oxidizing agent and the material targeted for removal from the substrate surface. Further, it is believed that the reagent-linked abrasive is particularly effective as it brings the reagent directly to the targeted material on the substrate surface, and thus facilitates or accelerates the removal reaction substantially at the site of the targeted material.

[0014] The composition provides very desirable material removal rates, for example, up to about 6,000 to about 15,000 Angstroms (Å) per minute, such as about 8,000 Å per minute, in a CMP process. The composition is effective when used in conventional CMP processes, as well as CMP processes having relatively low carrier pressures. Substrates polished using the composition show good uniformity values, as reflected by relatively low within wafer non-uniformity percentages. For example, in some of the examples provided herein, the within wafer non-uniformity percentages of the polished substrates were about 7% or less, or even about 4-6% or less.

[0015] Additional features, aspects and advantages of the present invention will become apparent from the description of preferred embodiments and the various examples set forth below.

BRIEF DESCRIPTION OF THE DRAWINGS

[0016] FIG. 1A and FIG. 1B are SEM pictures of the center and the edge vias, respectively, of a production wafer after polishing using a composition of the present invention, as further described below in relation to Example 5.

[0017] FIG. 2 is a graphical plot of the tungsten removal rate and the within wafer non-uniformity percentage of 26 wafers consecutively polished using a composition of the present invention, as further described below in relation to Example 6.

[0018] FIG. 3A is a graphical plot of optimization studies of CMP processes using a composition of the present invention, as further described below in relation to Example 7.

[0019] FIG. 3B and FIG. 3C are graphical plots of characterization studies of CMP processes using a composition of the present invention, as further described below in relation to Example 7.

DESCRIPTION OF PREFERRED EMBODIMENTS

[0020] The composition of the present invention is usefully employed in the chemical-mechanical polishing (CMP) of a substrate. The composition or slurry may be used to polish at least one feature or layer on a substrate such as a silicon substrate, a gallium arsenide (GaAs) substrate, a thin film transistor-liquid crystal display (“TFT-LCD”) glass substrate, or any other substrate associated with integrated circuits, thin films, semiconductors, Micro Electro Mechanical Systems (MEMS) structures, hard disk platters and heads, and the like. By way of example, the composition of the present invention may be used in the CMP of a substrate having one or more layers of aluminum, copper, copper-aluminum alloy, tantalum, titanium, tungsten, or tantalum-, titanium-, or tungsten-containing alloys, such as tantalum nitride, titanium nitride, titanium tungsten, or any combination thereof, particularly, copper or tungsten, and most particularly, tungsten.

[0021] Generally, throughout this description, any mention of a component of the composition refers to at least one such component, for example, one such component or multiple such components. Further, any amount of a component of the composition is given as a weight percent (wt. %) relative to the composition. Additionally, any amount of a component is given as an approximate amount, for example, more or less than, or equal to, the precise numerical amount stated. This convention concerning approximate amounts applies to any numerical measure stated herein in connection with the composition, such as a numerical pH level stated for the composition or a numerical process parameter stated for a CMP process employing the composition. The foregoing conventions apply throughout this specification unless specified or clearly intended or implied otherwise.

[0022] The composition generally comprises at least one oxidizing agent, at least one abrasive, and at least one Fenton's reagent that is at least partially linked to the abrasive, as further described herein. Typically, the abrasive component comprises a portion of abrasive that is linked with the Fenton's reagent (sometimes referred to herein as “linked abrasive”) and a portion of abrasive that is free of any Fenton's reagent (sometimes referred to herein as “normal abrasive”), although only the former need be present. For example, the abrasive may comprise a ratio of linked abrasive to normal abrasive of about 1 to about 9. Each of the components of the composition and typical, preferred, and more preferred amounts thereof, in approximate weight percent (wt. %) relative to the composition, are provided below in Table 1. 1 TABLE 1 Chemical-Mechanical Polishing Composition Component Typical Amount Preferred Amount More Preferred Amount Oxidizing Agent 0.01 to 30 wt. % 0.01 to 10 wt. % 0.01 to 6 wt. %  Normal Abrasive 0.01 to 30 wt. % 0.01 to 20 wt. % 0.01 to 10 wt. % Linked Abrasive 0.01 to 25 wt. % 0.01 to 10 wt. % 0.01 to 5 wt. % 

[0023] The oxidizing agent of the CMP composition assists in the chemical removal of targeted material on the substrate surface. The oxidizing agent component is thus believed to enhance or increase the material removal rate of the composition. Preferably, the amount of oxidizing agent in the composition is sufficient to assist the chemical removal process, while being as low as possible to minimize handling, environmental, or similar or related issues, such as cost. The various amounts of oxidizing agent provided in Table 1 are all effective and suitable, while the more preferred amount of from about 0.01 to about 6 weight percent relative to the composition, is particularly preferred in terms of minimizing the potential issues mentioned above.

[0024] The oxidizing agent is preferably an inorganic or organic per-compound. A per-compound is generally defined as a compound containing an element in its highest state of oxidation, such as perchloric acid; a compound containing at least one peroxy group (—O—O—), such as peracetic acid and perchromic acid; or a compound having exhaustive substitution or addition, such as perchloroethylene. The Condensed Chemical Dictionary, Tenth Edition, Revised by Hawley, G. Suitable per-compounds containing at least one peroxy group include, but are not limited to, a hydroperoxide, a hydrogen peroxide, such as hydrogen peroxide or urea hydrogen peroxide, a persulfate, such as a monopersulfate (SO5dbd) or a dipersulfate (S2O8dbd), peracetic acid, a percarbonate, an organic peroxide, such as benzoyl peroxide, di-t-butyl peroxide, any acid thereof, any salt thereof, any adduct thereof, and any combination of the foregoing. Suitable per-compounds that do not contain a peroxy group include, but are not limited to, periodic acid, any periodiate salt, perbromic acid, any perbromate salt, perchloric acid, any perchlorate salt, perboric acid, any perborate salt, permanganate, any permanganate salt, and any combination of the foregoing. Preferably, the oxidizing agent is a per-compound or a compound possessing a reactive peroxy functional group, such as monopersulfate, dipersulfate, peracetic acid, urea hydrogen peroxide, hydrogen peroxide, any acid, salt, or adduct thereof, and any combination of the foregoing, or a per-compound not having a peroxy group, such as periodic acid, any acid, salt, or adduct thereof, and any combination of the foregoing. Most preferably, the oxidizing agent is periodic acid.

[0025] Other oxidizing agents are also suitable components of the composition of the present invention. For example, ozone is a suitable oxidizing agent either alone or in combination with one or more other suitable oxidizing agents. Further by way of example, the oxidizing agent may be a metal salt, a metal complex or coordination compound, or any combination thereof. An organic or inorganic hydroxylamine compound or salt is yet another possible oxidizing agent component for the composition.

[0026] A sampling of suitable oxidizing agents includes iron salts, aluminum salts, sodium salts, potassium salts, as well as ammonium salts, quaternary ammonium salts, phosphonium salts, peroxides, chlorates, perchlorates, permanganates, persulfates, and any combination thereof. In general, the various oxidizing agents described herein may be used either alone or in combination with one another, although any combination that might undesirably complicate the CMP process is preferably avoided.

[0027] In addition to the oxidizing agent component, the composition also comprises an abrasive and a Fenton's reagent. The reagent is at least partially linked to the abrasive, as further described herein. The abrasive is effective in the mechanical removal of targeted material on the substrate surface. Suitable amounts of reagent-linked abrasive, such as the preferred range of from about 0.01 to about 10 weight percent relative to the composition, are listed in Table 1 above. Suitable amounts of normal abrasive, if any, are also listed in Table 1.

[0028] The abrasive is generally in the form of an abrasive particle, and typically many abrasive particles, of one material or a combination of different materials. Generally, a suitable abrasive particle is more or less spherical and has an effective diameter of about 30 to about 170 nanometers (nm), although individual particle size may vary. Abrasive in the form of aggregated or agglomerated particles are preferably processed further to form individual abrasive particles.

[0029] The abrasive particle may be a metal oxide particle, a resinous particle, or a plastic particle, and is preferably a plastic particle. A suitable metal oxide abrasive includes, but is not limited to, alumina, ceria, germania, silica, a spinel, titania, an oxide of tungsten, zirconia, and any combination thereof, preferably, alumina, colloidal silica, or an iron spinel. The metal oxide abrasive may be produced by any of a variety of techniques, including sol-gel, hydrothermal, hydrolytic, plasma, fuming and precipitation techniques, and any combination thereof. Preferably, the metal oxide abrasive is a colloidal abrasive, such as colloidal silica. In general, the above-mentioned metal oxide abrasives may be used either alone or in combination with one another, although any combination that might undesirably complicate the CMP process is preferably avoided.

[0030] As mentioned above, other abrasives, such as plastic or resinous abrasives, are suitable components of the composition of the present invention. For example, a suitable plastic abrasive particle may be composed of a polyacrylic acid, a polymethylacrylic acid, a polyvinyl alcohol, or any combination thereof. Further by way of example, a suitable resinous abrasive particle may be composed of a polyacrylic acid, a polymethylacrylic acid, a polymelamine, or any combination thereof, or any particle of a ion exchange resin, such as a plastic ion exchange resin.

[0031] According to the present invention, a catalytic reagent is at least partially linked to the abrasive. The reagent enhances, or increases, the rate of the chemical reaction between the oxidizing agent of the composition and the targeted material, particularly metal material, on the substrate surface during a CMP process. The reagent is believed to assist in the formation of activated oxidizing species or free radicals, such as activated peroxy groups, at reaction sites on the material targeted for removal. Preferably, the reagent is substantially insoluble in the composition such that it remains, for the most part, at least partially linked to the abrasive particle during the CMP process.

[0032] As mentioned above, the reagent is at least partially linked to the abrasive material of the composition. As used herein, “linking” and its various linguistic or grammatical forms or counterparts generally refer to forming a chemical connection between the abrasive and the reagent, such as by forming at least a partial layer of reagent material on at least a portion of the abrasive, absorbing or adsorbing the reagent material on at least a portion of the abrasive, forming bonds between the reagent material and at least a portion of the abrasive, such as covalent bonds, ionic bonds, or a combination of covalent and ionic bonds, and the like, by any suitable means or method.

[0033] Care should be taken as to the amount of reagent-linked abrasive used, as using too much of the reagent may compromise control of the CMP process. The amount of reagent-linked abrasive should generally not exceed 25 weight percent of the composition. Where reagent concentration is a concern, an increased amount of normal abrasive, absent a reagent linkage, may be used to dilute the reagent in the composition and facilitate control of the CMP process.

[0034] Catalytic reagents suitable for the CMP composition are now described. Certain metal salts are extremely versatile means of producing free radicals, particularly in aqueous systems, and inducing radical chain processes. An example of a suitable radical-generating system for the oxidation of a variety of organic substrates includes a Fenton's reagent of ferrous ions and hydrogen peroxide, as described by Fenton. See Fenton, H. J. H., Oxidation of Tartaric Acid in presence of Iron, J. Chem. Soc., 65, 899-910 (1894). Also known are a variety of similar systems, as well as radical chain process-inducing systems involving inorganic reagents, such as a system for the metal ion-catalyzed autoxidation of sulfite ions elucidated by Haber and Wilstäter (F. Haber and Wilstätter, Ber., 64, 2844 (1931)), and Bäckström (H. L. J. Bäckström, Z. physik, Chem., B25, 122 (1934)). See Walling, C., Free Radicals in Solution (John Wiley & Sons, New York, 1957), pp. 564-579, which is incorporated herein in its entirety by this reference. Systems such as these are often referred to in terms of reduction activation or redox catalysis. As used herein, these redox-related terms apply to all redox systems that produce free radicals and/or induce radical chain processes by one-electron redox reactions. Similarly, as used herein, the term “Fenton's reagent” applies to all metal-based reagents, not just ferrous ions in combination with hydrogen peroxide as described above, that participate in free radical reactions.

[0035] The Fenton's reagent comprises a metal from Group 1(b) or Group 8 of the Periodic Table of the Elements that reacts with the oxidizing agent of the CMP composition to activate free radicals. See, for example, Handbook of Chemistry and Physics, 64th Edition, Periodic Table of the Elements, Inside Front Cover, which is fully incorporated herein by this reference. Suitable metals include iron, copper, silver, and any combination thereof. The suitability of silver appears to depend on the oxidizing agent employed. Merely by way of example, silver is a suitable metal-based Fenton's reagent when the oxidizing agent is a persulfate. Cobalt does not appear to be effective as a Fenton's reagent. Preferably, the metal comprises copper, iron, or a combination thereof, most preferably, iron because of its greater activity. It is believed that reagents having a standard oxidation potential of from about −0.55 to about −0.40 eV, such as copper (−0.52 eV) and iron (−0.44 eV), for example, have an optimal or preferred catalytic activity.

[0036] Merely by way of example, when ferrous iron is used as the Fenton's reagent and the oxidizing agent is hydrogen peroxide, the reaction sequence (Reaction Sequence 1) set forth below is believed to take place.

[0037] Reaction Sequence 1

[0038] Fe2++H2O2->Fe3++OH−+.OH

[0039] .OH+Fe2+->OH−+Fe3+

[0040] .OH+H2O2->H2O+.OOH

[0041] .OOH+H2O->O2+H2O+.OH

[0042] See, for example, the above-referenced publication of Walling. Further by way of example, when ferric iron is used as the Fenton's reagent and the oxidizing agent is periodic acid, the reaction sequence (Reaction Sequence 2) set forth below is believed to take place.

[0043] Reaction Sequence 2

[0044] H4IO62−+Fe3+->H3IO52−+.OH+Fe2+H3IO52−->H2O+.OH+Fe3+

[0045] See, for example, Symons, M. C. R., Evidence for Formation of Free-radical Intermediates in Some Reactions Involving Periodate, J. Chem. Soc., 1955, pp. 2794-2796, which is incorporated herein in its entirety by this reference. These exemplary reaction sequences demonstrate the activation of free radicals that are useful in the CMP compositions of the present invention.

[0046] The Fenton's reagent may be in a variety of forms, such as an oxide, a hydroxide, a nitrate, a halide, such as chloride, a perchlorate, or an acetate of the metal, a source of ions of the metal, and any combination thereof. As to the oxide and hydroxide forms of the reagent, these should be soluble under acid conditions, for example, at a pH of about 5 or less, such that soluble metal ions are present in the composition. By way of example, suitable metal oxides include iron oxide, copper oxide, and silver oxide. Further by way of example, the reagent may be a source of mulivalent metal, such as a source of divalent or trivalent iron, as exemplified in the two reaction sequences, Reaction Sequences 1 and 2, shown above. Preferably, the reagent is a metal acetate, such as copper acetate (“CuAc”) or preferably, iron acetate (“FeAc”). More preferably, the reagent is a metal nitrate or a metal sulfate, such as an iron nitrate or an iron sulfate.

[0047] It is believed that the composition of the present invention is particularly advantageous by virtue of the interaction between the reagent at least partially linked to the surface of the abrasive and the oxidizing agent. That is, it is believed that a reaction takes place between the abrasive-linked reagent and the oxidizing agent, such as a peroxide or periodic acid, at or near the surface of the abrasive. It is believed that this reaction generates free radicals or active reaction intermediates, such as hydroxyl free radicals (HO.) or perhydroxyl free radicals (HOO.), near the surface of the abrasive, which radicals interact favorably with the targeted material on the substrate when the reagent-linked abrasive contacts the substrate surface. Descriptions of redox systems involving reagents that generate free radicals in the presence of oxidizing agents are provided in the Walling and Symons publications set forth above, and in Bacon, R., The Initiation of Polymerisation Processes by Redox Catalysts, Quart. Revs., Vol. IX (1955), pp.287-310, which is incorporated herein in its entirety by this reference. Such reagents are candidates for linkage with the abrasive used in the composition.

[0048] The pH of the composition is desirably on the order of from about pH 2 to about pH 7, and preferably, from about pH 2.5 to about pH 4.5, and most preferably, from about pH 3 to about pH 4. These pH levels, and particularly the preferred and most preferred levels, are believed to facilitate control of the CMP process. A composition having a pH that is too low, such as below pH 2, may present problems in terms of the handling of the composition and the quality of the polishing itself. A composition having a pH that is too high, such as above pH 7, may detrimentally contribute to reagent solubility problems, particularly when the reagent is a metal oxide or metal hydroxide.

[0049] The pH of the composition may be adjusted using an appropriate pH-adjusting agent, such as a suitable acid, base, amine, or any combination thereof. The pH-adjusting agent may contain metal ions. Examples include metal hydroxides, such as NaOH, KOH and the like, containing sodium, potassium, and like metal ions, respectively. Preferably, the pH-adjusting agent used in the composition does not contain any undesirable metal ions, such that undesirable metal components are not introduced into the composition. Suitable pH-adjusting agents include amines, ammonium hydroxide, nitric acid, phosphoric acid, sulfuric acid, organic acids, and any combination thereof.

[0050] The composition may also include one or more of various optional additives. Suitable optional additives include surfactants, stabilizating agents, complexing agents, such as oxalic acid and/or lactic acid for example, dispersing agents, and the like. These optional additives are generally employed to facilitate or promote stabilization of the composition against settling, flocculation (including precipitation, aggregation or agglomeration of particles, and the like), decomposition, and the like. Examples of these optional additives include sulfuric acid, phosphoric acid, nitric acid, ammonium salts, potassium salts, sodium salts, or other cationic salts of sulfates and phosphates, and any combination thereof.

[0051] In general, any of these optional additives should be present in an amount sufficient to substantially stabilize the composition. The necessary amount varies depending on the particular additive selected and the particular make-up of the CMP composition, such as the nature of the surface of the abrasive component. If too little of the additive is used, the additive will have little or no effect on the stability of the composition. On the other hand, if too much of the additive is used, the additive may contribute to the formation of undesirable foam and/or flocculent in the composition. Generally, suitable amounts of these optional additives range from about 0.001 to about 2 weight percent relative to the composition, and preferably from about 0.001 to about 1 weight percent. These optional additives may be added directly to the composition or applied to the surface of the abrasive component of the composition.

[0052] While there are many suitable surfactant additives for the composition, preferred surfactant additives include dodecyl sulfate sodium salt, sodium lauryl sulfate, dodecyl sulfate ammonium salt, and any combination thereof. Suitable commercially available surfactants include TRITON DF-16 manufactured by Union Carbide and SURFYNOL manufactured by Air Products and Chemicals.

[0053] Stabilization agents may be used to stabilize the reagent or catalyst in the presence of the oxidizing agent of the composition. For example, stabilizers may be needed to stabilize a metal ion reagent in the presence of an oxidizing agent, such as hydrogen peroxide. If a stabilization agent is not used, the oxidizing agent and the catalyst may react in a manner that rapidly degrades the oxidizing agent and thus compromises the CMP process. On the other hand, the presence of a stabilization agent in the composition may compromise the efficacy of the catalyst. Thus, for optimal CMP performance, careful consideration should be given as to whether or not to use a stabilizing agent in the composition, and as to the selection and amount of any stabilization agent added to the composition.

[0054] Suitable stabilizing agents include organic acids, such as adipic acid, carboxylic acid, citric acid, malonic acid, orthophthalic acid, and ethylenediaminetetraacetic acid, phosphoric acid, phosphonate compounds, nitriles, and other ligands, such as those that bind the reagent material and thus reduce reactions that degrade the oxidizing agent, and any combination of the foregoing agents. As used herein, an acid stabilizing agent refers to both the acid stabilizer and its conjugate base. That is, the various acid stabilizing agents may also be used in their conjugate form. By way of example, herein, an adipic acid stabilizing agent encompasses adipic acid and/or its conjugate base, a carboxylic acid stabilizing agent encompasses carboxylic acid and/or its conjugate base, carboxylate, and so on for the above-mentioned acid stabilizing agents. A suitable stabilizer, used alone or in combination with one or more other stabilizers, decreases the rate at which an oxidizing agent such as hydrogen peroxide decomposes during a CMP process.

[0055] Optionally, certain additives or polish-enhancement agents may be added to the composition to enhance or improve the polishing rate of targeted material on the substrate surface, such as tantalum and titanium material often present in the form of barrier layers on the substrate surface. An example of a polish-enhancement agent is hydroxylamine, which is particularly effective when the targeted material is tantalum. Polishing-enhancement agents other than hydroxylamine, such as fluoride-based agents, are generally preferred for use with peroxide-containing compositions. The optional polishing-enhancement agent, if any, is generally present in an amount of from about 0.001 to about 2 weight percent, or preferably, from about 0.001 to about 1 weight percent, relative to the composition.

[0056] The CMP composition or slurry of the present invention may be prepared using conventional techniques. Typically, the water and abrasive components are combined, reagent-linked abrasive is then added, oxidizer is then added, and the pH is adjusted. The reagent-linked abrasive is typically prepared separately, and in situ, by absorbing or adsorbing the reagent on the surface of the abrasive at low pH, such as a pH of about 2, for example. When the pH is adjusted upwards, such as to a pH of about 3.5, upon the addition of further components of the slurry, such as ammonia, the solubility of the absorbed or adsorbed reagent may increase, such that some free reagent is present in the slurry. In this way, for example, some metal from an absorbed or adsorbed metal-based reagent, such as iron from iron nitrate or iron sulfate, may become free in the slurry, while the remainder of the metal-based reagent may remain at least partially linked on the surface of the abrasive particles in the slurry.

[0057] Alternatively, according to one aspect of the present invention, the reagent-linked abrasive may be added to an existing CMP composition, such as a commercially available CMP composition that contains an oxidizing agent. For example, the reagent-linked abrasive may be added to a previously formulated peroxide composition to provide a CMP composition of this invention.

[0058] In some CMP processes, particularly some of the advanced polishing processes, the composition is prepared by adjusting the amount of each composition component in real time, just prior to a re-mixing of the composition at the point of use. For most CMP processes, the prepared composition is re-mixed at the point of use, whereupon it is poured onto the polishing pad. Typically, the composition is poured onto the pad as it is moved or rotated. As the CMP process proceeds, additional slurry may be added or excess slurry may be removed, as desired or necessary.

[0059] Examples of the composition according to the present invention are provided below. Concentrations are given in weight percentages relative to the composition, unless otherwise specified.

[0060] In Examples 1-4, each of the various slurries was used in a conventional CMP process, using a 6EC polisher from Strasbaugh of San Luis Obispo, Calif., and an IC1000 K groove/Suba IV polishing pad from Rodel of Phoenix, Ariz. Each process was performed on a silicon substrate at least partially layered with a tungsten film of about 8000 Å in thickness. The process parameters included a carrier pressure of about 6 pounds per square inch (psi), a back pressure of 0 psi, a carrier speed of about 90 revolutions per minute (rpm), a platen or table speed of about 90 rpm, and a slurry flow rate of about 175 milliliters per minute (ml/min). Following the CMP polishing process, the polishing pad was conditioned ex situ using a four-cycle conditioning process.

EXAMPLE 1

[0061] In Example 1, slurries A-E, of varied ferric nitrate concentrations ([Fe(NO3)3], wt. %), were prepared and evaluated as to associated material removal rates (RR, Angstroms/minute or Å/min), and within wafer non-uniformity percentages (WNU, %) achieved in the conventional CMP process described above. Each of the slurry compositions was prepared by placing 595 grams of deionized water (DI water) into a two-liter plastic beaker that was equipped with a magnetic stirrer. The DI water was stirred and 200 grams of a 10 wt. % periodic acid solution were added. To this solution, 200 grams of a 30 wt. % colloidal silica abrasive (Levasil 50 CK-862 from H. C. Starck, Inc. of Newton, Mass.) were then added. The solution was then adjusted by adding 5 grams of a 30 wt. % ammonia solution to bring the pH to 3 to provide the base solution for each of the compositions.

[0062] The individual slurries A-E were prepared by adding 0, 0.22, 1.11, 2.22 and 11.1 grams of a 45 wt. % ferric nitrate solution, respectively, to a separate base solution described above, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of the slurries to arrive at a slurry pH of 3. The ferric nitrate concentrations for slurries A-E were 0, 0.01, 0.05, 0.10 and 0.50 wt. %, respectively, as set forth in Table 2 below. The final concentrations of the remaining components of the slurries, all having a pH of 3, were 2 wt. % periodic acid, 3 wt. % colloidal silica, and 0.15 wt. % ammonia.

[0063] Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates (W RR) and within wafer non-uniformity (WNU) percentages, as well as the removal rates for silicon dioxide film from tetra-ethyl-orthosilicate (TEOS RR), associated with slurries A-E are provided in Table 2 below. 2 TABLE 2 Slurries A-E of Example 1 [Fe(NO3)3] Tungsten TEOS Slurry (wt. %) RR (Å/min) WNU %) RR (Å/min) A 0 2947 4.98 908 B 0.01 3135 4.71 909 C 0.05 3637 6.14 890 D 0.10 3627 6.63 869 E 0.50 3686 5.93 855

[0064] Generally, the results show that the tungsten removal rate increases when ferric nitrate is used in the CMP slurry described above. The ferric nitrate concentration need not be large to bring about an improvement in the tungsten removal rate. For example, ferric nitrate concentrations of from about 0.025 to about 0.50 wt. %, preferably, from about 0.05 to about 0.10 wt. %, and more preferably, at about 0.05 wt. %, are considered beneficial. The tungsten removal rate appears to plateau somewhat at a ferric nitrate concentration of more or less 0.05 wt. %. The TEOS removal rate does not appear to be particularly sensitive to the addition of ferric nitrate to the CMP slurry.

[0065] In this example, slurries C-E are considered very strong CMP performers, given the relatively high tungsten removal rates associated with these slurries. Slurries C and D are preferred as the lower ferric nitrate concentrations associated with these slurries, and particularly the more preferred slurry C, relative to slurry D, suggest that there is less potential for free iron to be present in the CMP solution and thus in the CMP system following the post-CMP cleaning of the system.

EXAMPLE 2

[0066] In Example 2, slurries A-E, of varied periodic acid concentrations ([PIA], wt. %), were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above. Individual slurries A-E were prepared by placing 797.8, 747.8, 697.8, 647.8, and 597.8 grams of deionized water (DI water), respectively, into separate two-liter plastic beakers that were equipped with magnetic stirrers. The DI water was stirred and 0, 50, 100, 150, and 200 grams of a 10 wt. % periodic acid solution, respectively, were added to the separate beakers. To each solution, 200 grams of a 30 wt. % colloidal silica abrasive (Levasil 50 CK-862 from from H. C. Starck, Inc. of Newton, Mass.) were then added.

[0067] The individual slurries A-E were prepared by adding 2.2 grams of a 45 wt. % ferric nitrate solution to the separate base solutions described above, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of the slurries to arrive at a slurry pH of 3. The periodic acid concentrations for slurries A-E were 0, 0.5, 1.0, 1.5 and 2.0 wt. %, respectively, as set forth in Table 3 below. The final concentrations of the remaining components of the slurries, all having a pH of 3, were 0.1 wt. % ferric nitrate, 3 wt. % colloidal silica, and variable amounts of ammonia.

[0068] Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates, associated with slurries A-E are provided in Table 3 below. 3 TABLE 3 Slurries A-E of Example 2 [PIA] Tungsten TEOS Slurry (wt. %) RR (Å min) WNU %) RR (Å/min) A 0 584 8.77 NA B 0.5 2226 8.83 886 C 1.0 3192 4.32 886 D 1.5 3433 3.79 905 E 2.0 3627 3.79 869

[0069] Generally, the results show that the tungsten removal rate increases when periodic acid is used in the CMP slurry described above. The periodic acid concentration need not be large to bring about an improvement in the tungsten removal rate. For example, periodic acid concentrations of from about 1 to about 3 wt. %, preferably, from about 1.5 to about 2.5 wt. %, and more preferably, from about 1.5 to about 2 wt. %, are considered beneficial. The TEOS removal rate does not appear to be particularly sensitive to the addition of periodic acid to the CMP slurry.

[0070] At periodic acid concentrations of 1 wt. % or more, the within wafer non-uniformity percentages are very good, on the order of about 4 WNU % or less. While the characterization of within wafer non-uniformity percentages varies from process to process, generally speaking, percentages of below about 10 WNU % are acceptable, and percentages of about 6 WNU % or less, or about 5 to about 4 WNU % or less, are desirable.

[0071] In this example, slurries D and E are considered very strong CMP performers, given the relatively high tungsten removal rates and the excellent within wafer non-uniformity percentages associated with these slurries.

EXAMPLE 3

[0072] As CMP slurries of relatively low pH, such as a pH of less than 2, may provide limited removal rates, approaches involving the use of CMP slurries of higher pH, such as a pH of 6 or 7, have been tried. However, the use of such prior art slurries has not always provided better, or significantly better, removal rates. Further, the more aggressive chemistries associated with such slurries can undesirably cause recessing of metal features, such as tungsten features or plugs, on the substrate. By way of example, some alumina slurries of raised pH may be too aggressive for relatively small metal features on a substrate.

[0073] In Example 3, slurries A-C, of varied pH, were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above. Each of the slurry compositions was prepared by adding 2.2 grams of a 45 wt. % ferric nitrate solution to a separate base solution that was prepared as described above in Example 1, such that ferric nitrate was absorbed or adsorbed onto the colloidal silica in situ. An appropriate amount of a 30 wt. % ammonia solution was then added to each of slurries A-C to arrive at slurry pHs of 1.8, 3.0, and 7.0, respectively, as set forth in Table 4 below. The final concentrations of the components of the slurries were 2 wt. % periodic acid, 0.1 wt. % ferric nitrate, 3 wt. % colloidal silica, and variable amounts of ammonia.

[0074] Each of the slurries A-C was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates, associated with slurries A-C are provided in Table 4 below. 4 TABLE 4 Slurries A-C of Example 3 Tungsten TEOS Slurry PH RR (Å/min) WNU %) RR (Å/min) A 1.8 3435 3.27 879 B 3.0 3494 4.11 893 C 7.0 3418 4.37 547

[0075] Generally, the results show that pH has no significant effect on the tungsten removal rate. These results are interesting, as one would expect the tungsten removal rate to be affected by pH in a normal redox reaction. Further, one would expect the iron species to be in the form of iron hydroxides or oxides at a pH of 7, and thus nearly inactive in a normal redox reaction. It is believed that the CMP slurries of the present invention are thus not involved in a normal redox reaction. Rather, it is believed that these CMP slurries are involved in a free radical reaction, such as those represented by the reaction sequences, Reaction Sequences 1 and 2, described previously herein.

[0076] As the pH does not appear to have a meaningful effect on the tungsten removal rate, the pH of the slurry can be selected based on other considerations. For example, a pH of 1.8 may be considered too acidic, or potentially corrosive, for certain applications. Further, a pH of 7.0 may be associated with plug recess issues. Thus, while slurries A and C demonstrate good performance, slurry B at a pH of 3 is preferred. Generally speaking, for the CMP slurries of this example, a pH of from about 2 to about 5 is acceptable, a pH of from about 2 to about 4 is preferred, and a pH of about 3 is more preferred.

EXAMPLE 4

[0077] In Example 4, slurries A-E, of varied abrasive content, were prepared and evaluated as to associated material removal rates and within wafer non-uniformity percentages achieved in the conventional CMP process described above. Slurry A was prepared in the same manner as that described above for slurry B of Example 3. Slurry B was prepared in the same manner with the exception that the type of abrasive employed was a combination of fumed and colloidal silica, rather than simply colloidal abrasive. Each of the slurry compositions C and D was prepared in the same manner, with the exceptions that the type of abrasive employed was varied and that the reagent was not chemically absorbed or absorbed, or at least partially absorbed or adsorbed, in situ, on the surface of the abrasive. That is, while slurry A was prepared using a colloidal silica abrasive and slurry B was prepared using a combination of fumed and colloidal silica abrasive, wherein at least some of the colloidal silica abrasive had reagent at least partially absorbed or adsorbed in situ on its surface, slurries C and D of this example were prepared using alumina, and fumed silica, respectively, instead. Neither alumina nor fumed silica abrasives absorb or adsorb any meaningful amount of the ferric nitrate reagent during the preparation of these slurries.

[0078] Slurry E was prepared largely in the manner described above, with the exceptions that prefabricated, or ex situ, iron-coated silica abrasive was used as the abrasive component, and because the abrasive was coated with iron, the separate ferric nitrate component used in slurries A-D was not employed. For slurry E, the iron-coated silica was prepared by taking Mirasol 3070 (Precision Colloids, LLC of Cartersville, Ga.), a commercially available aqueous solution of abrasive silica particles, and coating it with a cationic iron catalyst, such that about 25% of the surfaces of the silica particles were coated, as described in the previously mentioned U.S. application Ser. No. 10/074,757 of Robert Small et al. The final concentrations of the components of the slurries were 2 wt. % periodic acid, 0.1 wt. % ferric nitrate for slurries A-D, 3 wt. % abrasive of variable type, and variable amounts of ammonia.

[0079] Each of the slurries A-E was used in the conventional CMP process described above and evaluated in terms of material removal rates and within wafer non-uniformity percentage. The tungsten removal rates and within wafer non-uniformity percentages, as well as the TEOS removal rates and the abrasive particle sizes (in nanometers or nm), associated with slurries A-E are provided in Table 5 below. 5 TABLE 5 Slurries A-E of Example 4 Tungsten WNU TEOS Particle size Slurry Abrasive RR (Å/min) (%) RR (Å/min) (nm) A Colloidal 3946 5.4 465 70 Silica B Fumed and 4843 5.6 528 150 and 70 Colloidal Silica C Alumina 3862 3.2 301 200 D Fumed Silica 3797 11.0 149 150 E Iron-Coated 3822 6.1 484 70 Silica

[0080] Generally, the results show that periodic acid and ferric nitrate can be successfully used in the CMP slurries of the present invention, regardless of the type of abrasive used. The results show very good tungsten removal rates using such CMP slurries.

[0081] Slurry A, comprising colloidal silica abrasive, performed well in terms of the tungsten removal rate and the within wafer non-uniformity percentage. It is believed that the ferric nitrate absorbs or adsorbs well on the colloidal silica abrasive to provide these desirable CMP results. Slurry B, comprising fumed silica in addition to colloidal silica (in about a 1:1 ratio), performed very well in terms of the tungsten removal rate and well in terms of the within wafer non-uniformity percentage. It is believed that the fumed silica component of slurry B is responsible for the increased removal rate relative to slurry A, as fumed silica is more abrasive than colloidal silica.

[0082] Slurry C, having only an alumina abrasive, performed fairly well in terms of the tungsten removal rate, though not as well as the colloidal silica-containing slurries A and B discussed above. Slurry D, having only a fumed silica abrasive, did not appear to be that effective, as demonstrated by the lower tungsten removal rate and the higher within wafer non-uniformity percentage associated with that slurry. Normally, the polish rate increases with larger particle size. However, in this example, for Slurry C and Slurry D, which contained abrasive of larger particle size than that of Slurry A, the tungsten removal rate was lower than that for Slurry A. As discussed above, it is believed that iron, such as iron in the form of ferric nitrate, is absorbed by, or adsorbed on, colloidal silica to a greater extent than by, or on, fumed silica or alumina. It is believed that the higher tungsten removal rate associated with Slurry A is based on the greater iron absorption by, or adsorption on, colloidal silica.

[0083] Slurry E, having an iron-coated silica abrasive, showed a lower tungsten removal rate and a higher within wafer non-uniformity percentage relative to the colloidal silica-containing slurries A and B. It is believed that the iron associated with the ferric nitrate absorbed or adsorbed in situ on the colloidal silica in slurries A and B is in a more active state, and thus, a more effective state, than the iron that is coated ex situ on the silica in the prefabricated coated abrasive of slurry E.

[0084] In the present invention, a slurry having at least some colloidal silica for absorption of iron in a relatively active state, such as slurry A or slurry B, is preferred. A slurry having a combination of fumed silica and colloidal silica, such as slurry B, is preferred over a slurry having only a colloidal silica abrasive, such as slurry A, as colloidal silica tends to “glaze” the polishing pad, making it harder to control the CMP process.

EXAMPLE 5

[0085] In Example 5, slurry A was prepared by combining a CMP abrasive composition, an oxidizer composition, and DI water, in a ratio of 1:2:2. Slurry B was prepared in the same manner, with the exception that the ratio was 1:1.5:2.5. The abrasive composition comprised 15 wt. % colloidal silica, 0.5 wt. % ferric nitrate, and 0.62 wt. % nitric acid, and had a pH of 2. The oxidizer composition comprised 5 wt. % periodic acid, 1.5 wt. % acetic acid, and 2.375 wt. % tetramethylammoniumhydroxide (TMAH), and had a pH of 3.8. The pH of both slurry A and slurry B was 3.5. The final concentrations of various components of slurry A were as follows: 2 wt. % periodic acid, 0.6 wt % acetic acid, 0.95 wt. % TMAH, and 3 wt. % colloidal silica. The final concentrations of various components of slurry B were as follows: 1.5 wt. % periodic acid, 0.45 wt % acetic acid, 0.71 wt. % TMAH, and 3 wt. % colloidal silica.

[0086] Each of the slurries was used in a conventional CMP process, as described in relation to Examples 1-4, with the exception that the CMP polisher was an IPEC AVANTI 472 polisher, commercially available from Novellus Systems, Inc. of San Jose, Calif. A production wafer was polished using slurry A. FIGS. 1A and 1B consist of SEM pictures of the center and the edge vias, respectively, of the production wafer after polishing. The pictures show good finishing, with good consistency from the center to the edge of the wafer, minimal plug recesses, and no exposed “key holes.” A production wafer polished using slurry B showed even lower plug recesses. Typically, slurries using periodic acid as an oxidizing agent and having a pH greater than 3 have plug recesses of 600 to 1000 Å. In this Example, much smaller plug recesses of 287 Å and 199 Å were associated with Slurry A and Slurry B, respectively.

EXAMPLE 6

[0087] In Example 6, Slurry A of Example 5 was used in a conventional CMP process, as described in relation to Example 5, with the exception that the process parameters included a table speed of 110 rpm, carrier speed of 100 rpm, a slurry flow rate of 150 ml/min, and in situ conditioning of the pad (or 6/0/110/100/150/in-situ, as abbreviated). The process was carried out on 26 wafers consecutively to determine stability.

[0088] FIG. 2 is a graphical plot of the tungsten removal rate (see the vertical axis on the left) and the within wafer non-uniformity percentage (see the vertical axis on the right) for wafers 1-26. The tungsten removal rate and within wafer non-uniformity percentages varied slightly over the 26-wafer test, the former varying only about 6%.

EXAMPLE 7

[0089] In Example 7, slurry A of Example 5 was used in process optimization and characterization studies. From process optimization studies, as depicted in the graphical plot of FIG. 3A, it was determined that the 6/0/110/100/150/in-situ process used in Example 6 was preferable to a process in which the only process parameter variation was the use of ex situ conditioning of the CMP pad (6/0/110/100/150/ex-situ), as the tungsten removal rate was higher (comparatively, about 4800 vs. about 4200 Å/min) and the within wafer non-uniformity percentage was not significantly higher (comparatively, about 15 vs. about 13.5 WNU %). It was further determined that the 6/0/110/100/150/in-situ process used in Example 6 was preferable to a process in which the only process parameter variations were the use of a back pressure of 2 psi and the use of ex situ CMP conditioning (6/2/110/100/150/ex-situ), as the tungsten removal rate was higher (comparatively, about 4800 vs. about 3950 Å/min) and the within wafer non-uniformity percentage was not significantly higher (comparatively, about 15 vs. about 12 WNU %).

[0090] From process characterization studies, it was determined that when using slurry A of Example 5, the process could be controlled or tuned quite easily to obtain a desired tungsten removal rate and overall process. That is, as shown in FIG. 3B and FIG. 3C, process parameters such as the down-force pressure, the table or platen speed, and the slurry flow rate, can be tuned to obtain a desirable tungsten removal rate, a desirable within wafer non-uniformity percentage, and a desirable table temperature. Preferably, the table temperature is maintained in a range of from about 40° C. to about 45° C. It is believed that slurry A of Example 5 allows one to maintain an acceptable or relatively low table temperature because the catalytic effect of the ferric nitrate in the slurry works against excessive heat generation. In this regard, it is believed that slurries of the present invention, such as slurry A of Example 5, react according to free radical generating reaction schemes, as discussed previously, that are associated with lower activation energies than reaction schemes associated with other CMP slurries, such as normal redox reaction schemes.

EXAMPLE 8

[0091] In Example 8, a test was performed to determine if the activity of the slurries of the present invention is for the most part attributable to ferric ions present in the system or to ferric ions that are at least partially linked to the abrasive component.

[0092] In Step 1 of this test, a tungsten blanket wafer from International Sematech of Austin, Tex. was polished using a non-catalytic slurry A, comprising 2 wt. % periodic acid and 5 wt. % colloidal silica, and a conventional CMP process, wherein the process parameters included a down force pressure of 6 psi, no back pressure, a carrier speed of 70 rpm, a table speed of 110 rpm, a slurry flow of 150 ml/min, and a clean IC1000 K groove/Suba IV polishing pad. In Step 2, the wafer was then polished in the same manner, but using a catalytic slurry B according to the present invention, comprising 2 wt. % periodic acid, 5 wt. % colloidal silica, and 0.1 wt. % ferric nitrate at least partially absorbed or adsorbed on the colloidal silica, and using the polishing pad that had been previously used with slurry A, as just described.

[0093] In Step 3, the twice-used polishing pad was then soaked in a 10 wt. % ferric nitrate solution for 2 hours. The wafer was then polished as in the manner described above, using the soaked pad and a non-catalytic slurry A described above. In Step 4, the thrice-used polishing pad was then soaked in a 10 wt. % ferric nitrate solution for 24 hours and air dried for 24 hours. The wafer was then polished as in the manner described above, using the dried polishing pad and a non-catalytic slurry A described above. Various details of the test and the resulting tungsten removal rates and within wafer non-uniformity percentages appear in Table 6 below. 6 TABLE 6 Details and Results of Example 8 Ferric Nitrate Polishing Pad Tungston RR WNU Step Slurry in Slurry Condition (Å/min) % 1 A No Clean 2289 16.32 2 B Yes Used in Step 1 3426 7.89 3 A No Used in Steps 1 2269 15.29 and 2; Soaked in 10 wt. % Fe(NO3) for 2 hours 4 A No Used in Steps 1-3; 2067 15.30 Soaked in 10 wt. % Fe(NO3)3 for 24 hours; Air Dried for 24 hours

[0094] Generally, the results show that the catalytic slurry B of the present invention, with its catalyst-linked abrasive, is effective in the removal of tungsten (removal rate of 3426 Å/min) and provides a good within wafer non-uniformity percentage (7.89 WNU %). The performance of the non-catalytic slurry A fell far short of the performance of the catalytic slurry B of the invention. Further, soaking the used pad, already conditioned with the catalytic slurry B from Step 2, with a fresh 10 wt. % Fe(NO3)3 solution for 2 hours, provided no appreciably different results than the poor results obtained with the non-catalytic slurry A. Thus, it appears that supplying a fresh source of ferric ions to the polishing pad does not enhance polishing performance. Still further, soaking the used pad with a fresh 10 wt. % Fe(NO3)3 solution for a longer period of 24 hours, provided even worse results than the poor results obtained with the shorter soaking. Thus, it appears that attempting to load the pad up with a fresh source of ferric ions is not beneficial.

[0095] It is believed that the impressive performance of the catalytic slurry B of the present invention is due to the activity of the catalyst that is at least partially absorbed or adsorbed in situ on the surface of the abrasive particles in the CMP process. More particularly, it is believed that rather than simply serving as a source of active ions, such as ferric ions, that may participate in the polishing process, the catalyst is involved in a reaction that generates free radicals that assist or enhance the polishing process.

[0096] In CMP processes, and particularly modern or advanced CMP processes, it is desirable to obtain acceptable or optimal, such as increased, material removal rates while using acceptable or optimal, such as not unduly high, carrier pressures. In the CMP of tungsten-layered wafers, a good carrier pressure is about 9 psi or less, such as about 6 psi, and a good outcome at a pressure of about 6 psi is a removal rate of greater than about 3000 Å/min, such as a removal rate approaching 5000 Å/min. In the CMP of copper-layered wafers, a good carrier pressure is about 9 psi or less, such as about 4 psi, and a good outcome at a pressure of about 4 psi is a removal rate of greater than about 7500 Å/min. Further, obtaining polished wafers with uniformity values of from about 3 to about 12 WNU % percent is considered a good result.

[0097] While the foregoing examples of process parameters, outcomes and results are often desirable, other suitable parameters, outcomes and results are contemplated herein. It should be noted that while there is a general preference for compositions that provide high removal rates, other factors, such as good uniformity values (for example, low WNU %), efficient use of oxidizer, and good storage and handling characteristics, are also important considerations in the evaluation of a composition of the present invention. For example, slurry compositions of the present invention function best when the catalyst-linked abrasive component is relatively, if not substantially, stable. Catalyst stability is a desirable characteristic in the slurry compositions of the present invention, as it facilitates control of the CMP process.

[0098] As demonstrated herein, the slurry compositions of the present invention may be used in CMP processes to obtain desirable material removal rates and within wafer non-uniformity values. Merely by way of example, the composition may be used in the CMP of a substrate surface having a feature, layer or film thereon, such as a film of aluminum, copper, titanium, tungsten, an alloy thereof, or any combination thereof. The composition may also be used in the CMP of a substrate surface having a feature comprised of ruthenium, an oxide of ruthenium, platinum, or any combination thereof. Further by way of example, the composition may be used in the CMP of such a substrate surface, where the film has an adjacent or an underlying feature, layer or film, such as a film of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.

[0099] Accordingly, the present invention includes a method of polishing a substrate surface having at least one feature thereon that comprises a metal, such as metal or metal alloy feature. The substrate undergoing polishing may be any suitable substrate, such as any of the substrates described herein. According to the method of the invention, a composition of the invention is provided and the feature on the substrate surface is polished. The polishing is chemical-mechanical polishing, such as that associated with any conventional or known CMP process, any suitable later-developed CMP process, or any CMP process described herein. The polishing process parameters may be any suitable parameters, such as any of the parameters described herein. For example, the carrier pressure applied to the substrate surface, or the feature thereon, may be from about 1 to about 6 psi.

[0100] Generally, the polishing of the substrate surface continues until the targeted feature or layer is substantially coplanar with surrounding material, such as an oxide material, on the substrate. For example, the polishing of a metal-featured substrate may continue until any metal excess is sufficiently removed to provide a substantially uniform profile across the substrate surface. By way of example, suitable surface uniformity (typically measured using known wafer profiling techniques) is reflected by within wafer non-uniformity percentages of less than about 12%, and preferably, from about 4% to about 6%, and more preferably, even less than 4%, the lower values typically reflecting better process control. Appropriate within wafer non-uniformity values may vary depending on the characteristics of the CMP process and the substrates undergoing polishing.

[0101] The inventive method may be used to remove targeted material, such as metal or metal alloy, from the substrate surface at a rate of from about 100 to about 6,000 or about 8,000 or about 10,000 or to even about 15,000 Å/min. The present method may be used to provide a polished substrate surface of good uniformity, such as a substrate surface having from about zero to about 40 percent, preferably, from about zero to about 12 percent, or more preferably, from about zero to about 10 or even about 6 or about 4 percent, within wafer non-uniformity. Further, the present method may be used to provide a polished substrate surface wherein any micro-scratch on the surface that is associated with the polishing is less than about 20 Å. The present invention further encompasses a substrate produced by the inventive method, including any of the substrates described herein, and any of the substrates having any of the qualities, such as desirable uniformity values and surface characteristics, described herein.

[0102] Various aspects and features of the present invention have been explained or described in relation to beliefs or theories, although it will be understood that the invention is not bound to any particular belief or theory. Further, although the various aspects and features of the present invention have been described with respect to preferred embodiments and specific examples herein, it will be understood that the invention is entitled to protection within the full scope of the appended claims.

Claims

1. A composition for chemical-mechanical polishing, comprising:

at least one oxidizing agent;
at least one abrasive; and
at least one Fenton's reagent capable of reacting with the oxidizing agent to activate free radicals.

2. The composition of claim 1, wherein the oxidizing agent comprises a per compound.

3. The composition of claim 1, wherein the oxidizing agent is selected from a group consisting of periodic acid, a peroxide, and any combination thereof.

4. The composition of claim 1, wherein the oxidizing agent is selected from a group consisting of a hydroperoxide, a hydrogen peroxide, and any combination thereof.

5. The composition of claim 1, wherein the oxidizing agent is in an amount of from about 0.01 to about 30 weight percent relative to the composition.

6. The composition of claim 1, wherein the oxidizing agent is in an amount of from about 0.01 to about 10 weight percent relative to the composition.

7. The composition of claim 1, wherein the oxidizing agent is in an amount of from about 0.01 to about 6 weight percent relative to the composition.

8. The composition of claim 1, wherein the at least one abrasive comprises a metal oxide.

9. The composition of claim 1, wherein the at least one abrasive comprises a material selected from a group consisting of alumina, ceria, germania, silica, spinel, titania, an oxide of tungsten, zirconia, and any combination thereof.

10. The composition of claim 1, wherein the at least one abrasive comprises a metal oxide produced by a process selected from a group consisting of a sol-gel process, a hydrothermal process, a hydrolytic process, a plasma process, a fuming process, a precipitation process, and any combination thereof.

11. The composition of claim 1, wherein the at least one abrasive comprises a resinous abrasive.

12. The composition of claim 1, wherein the at least one abrasive comprises a material selected from a group consisting of a polyacrylic acid, a polymethylacrylic acid, a polymelamine, a particle of an ion exchange resin, and any combination thereof.

13. The composition of claim 1, wherein the at least one abrasive comprises a plastic abrasive.

14. The composition of claim 1, wherein the at least one abrasive comprises a material selected from a group consisting of a polyacrylic acid, a polymethylacrylic acid, a polyvinyl alcohol, and any combination thereof.

15. The composition of claim 1, wherein the Fenton's reagent comprises a metal selected from a group consisting of metals in Group 1 (b) and Group 8.

16. The composition of claim 1, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, silver, and any combination thereof.

17. The composition of claim 1, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, and any combination thereof.

18. The composition of claim 1, wherein the Fenton's reagent comprises a material selected from a group consisting of a metal oxide, a metal acetate, a source of ionic metal, and any combination thereof.

19. The composition of claim 1, wherein the Fenton's reagent is substantially insoluble in the composition.

20. The composition of claim 1, wherein the Fenton's reagent is at least partially linked to the abrasive.

21. The composition of claim 1, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 25 weight percent relative to the composition.

22. The composition of claim 1, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 10 weight percent relative to the composition.

23. The composition of claim 1, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 5 weight percent relative to the composition.

24. The composition of claim 20, further comprising at least one other abrasive that is free of a Fenton's reagent.

25. The composition of claim 24, wherein the other abrasive is in an amount of from about 0.01 to about 30 weight percent relative to the composition.

26. The composition of claim 24, wherein the other abrasive is in an amount of from about 0.01 to about 20 weight percent relative to the composition.

27. The composition of claim 24, wherein the other abrasive is in an amount of from about 0.01 to about 10 weight percent relative to the composition.

28. The composition of claim 1, further comprising an additive selected from a group consisting of a polish-enhancement agent, a complexing agent, a stabilization agent, a surfactant, a dispersion agent, a pH-adjusting agent, and any combination thereof.

29. The composition of claim 28, wherein the additive is present in an amount of from about 0.001 to about 2 weight percent relative to the composition.

30. The composition of claim 1, wherein a pH level of the composition is from about 2 to about 7.

31. The composition of claim 1, wherein a pH level of the composition is from about 2.5 to about 4.5.

32. The composition of claim 1, wherein the oxidizing agent is present in a prepared composition that lacks a Fenton's reagent.

33. The composition of claim 1, the composition sufficient for chemical-mechanical polishing of a substrate surface having a feature thereon comprising a first material selected from a group consisting of aluminum, copper, titanium, tungsten, any alloy thereof, and any combination thereof.

34. The composition of claim 33, the composition sufficient for chemical-mechanical polishing of the substrate surface comprising a second material adjacent the feature, the second material selected from a group consisting of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.

35. A composition for chemical-mechanical polishing, comprising:

at least one oxidizing agent comprising periodic acid;
at least one abrasive; and
at least one Fenton's reagent capable of reacting with the oxidizing agent to activate free radicals.

36. The composition of claim 35, wherein the oxidizing agent is in an amount of from about 0.01 to about 30 weight percent relative to the composition.

37. The composition of claim 35, wherein the at least one abrasive comprises a material selected from a group consisting of alumina, ceria, germania, silica, spinel, titania, an oxide of tungsten, zirconia, and any combination thereof.

38. The composition of claim 35, wherein the at least one abrasive comprises silica selected from a group consisting of colloidal silica or a combination of colloidal silica and fumed silica.

39. The composition of claim 35, wherein the Fenton's reagent comprises a metal selected from a group consisting of metals in Group 1 (b) and Group 8.

40. The composition of claim 35, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, silver, and any combination thereof.

41. The composition of claim 35, wherein the Fenton's reagent comprises a metal selected from a group consisting of iron, copper, and any combination thereof.

42. The composition of claim 35, wherein the Fenton's reagent comprises a material selected from a group consisting of a metal oxide, a metal acetate, a source of ionic metal, and any combination thereof.

43. The composition of claim 35, wherein the Fenton's reagent is at least partially linked to the abrasive.

44. The composition of claim 35, wherein the at least one abrasive and the Fenton's reagent together are in an amount of from about 0.01 to about 25 weight percent relative to the composition.

45. The composition of claim 35, wherein a pH level of the composition is from about 2 to about 7.

46. A method of polishing a substrate surface having at least one feature thereon comprising a metal, comprising:

providing the composition of any one of claims 1 and 35; and
chemical-mechanical polishing the feature with the composition.

47. The method of claim 46, wherein said providing comprises combining the at least one abrasive and the at least one Fenton's reagent, with a prepared composition comprising the oxidizing agent.

48. The method of claim 46, wherein the metal is selected from a group consisting of aluminum, copper, titanium, tungsten, any alloy thereof, ruthenium, an oxide of ruthenium, platinum, and any combination thereof.

49. The method of claim 46, wherein the feature is adjacent a material selected from a group consisting of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.

50. The method of claim 46, wherein the chemical-mechanical polishing comprises applying a pressure of from about 1 to about 6 pounds per square inch to the feature.

51. The method of claim 46, said method sufficient to remove the metal at a rate of from about 100 to about 15,000 Å per minute.

52. The method of claim 46, said method sufficient to remove the metal at a rate of from about 100 to about 10,000 Å per minute.

53. The method of claim 46, said method sufficient to provide the substrate surface at from about zero to about 40 percent within wafer non-uniformity.

54. The method of claim 46, said method sufficient to provide the substrate surface at from about zero to about 12 percent within wafer non-uniformity.

55. The method of claim 46, said method sufficient to provide the substrate surface at from about zero to about 7 percent within wafer non-uniformity.

56. The method of claim 46, said method sufficient to provide the substrate surface wherein any micro-scratch thereon produced during the chemical-mechanical polishing is less than about 20 Å.

57. A substrate having a surface comprising at least one feature thereon comprising a metal, said substrate produced by the method of claim 46.

58. The substrate of claim 46, wherein the metal is selected from a group consisting of aluminum, copper, titanium, tungsten, any alloy thereof, ruthenium, an oxide of ruthenium, platinum, and any combination thereof.

59. The substrate of claim 46, wherein the feature is adjacent a material selected from a group consisting of tantalum, tantalum nitride, titanium, titanium nitride, titanium tungsten, tungsten, and any combination thereof.

60. The substrate of claim 46, the substrate surface having from about zero to about 40 percent within wafer non-uniformity.

61. The substrate of claim 46, the substrate surface having from about zero to about 12 percent within wafer non-uniformity.

62. The substrate of claim 46, the substrate surface having from about zero to about 7 percent within wafer non-uniformity.

63. The substrate of claim 46, wherein any micro-scratch on the substrate surface produced during the chemical-mechanical polishing is less than about 20 Å.

Patent History
Publication number: 20040025444
Type: Application
Filed: Mar 19, 2003
Publication Date: Feb 12, 2004
Applicant: EKC Technology, Inc.
Inventors: Robert J. Small (Dublin, CA), Xiaowei C. Shang (Sunnyvale, CA)
Application Number: 10393542