Processing of semiconductor components with dense processing fluids and ultrasonic energy

Method for processing an article with a dense processing fluid in a processing chamber while applying ultrasonic energy during processing. The dense fluid may be generated in a separate pressurization vessel and transferred to the processing chamber, or alternatively may be generated directly in the processing chamber. A processing agent may be added to the pressurization vessel, to the processing chamber, or to the dense fluid during transfer from the pressurization vessel to the processing chamber. The ultrasonic energy may be generated continuously at a constant frequency or at variable frequencies. Alternatively, the ultrasonic energy may be generated intermittently.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

[0001] Small quantities of contamination are detrimental to the microchip fabrication process in the manufacturing of semiconductor electronic components. Contamination in the form of particulates, films, or molecules causes short circuits, open circuits, silicon crystal stacking faults, and other defects. These defects can cause the failure of finished microelectronic circuits, and such failures cause significant yield reductions, which greatly increases manufacturing costs.

[0002] Microelectronic circuit fabrication requires many processing steps. Processing is performed under extremely clean conditions and the amount of contamination needed to cause fatal defects in microcircuits is extremely small. For example, an individual particle as small as 0.01 micrometer in size can result in a killer defect in a modern microcircuit. Microcontamination may occur at any time during the many steps needed to complete the microcircuit. Therefore, periodic cleaning of the wafers used for microelectronic circuits is needed to maintain economical yields. Also, tight control of purity and cleanliness of the processing materials is required.

[0003] Numerous cleaning methods have been used in the manufacture of semiconductor electronic components. These include immersion in liquid cleaning agents to remove contamination through dissolution and chemical reaction. Such immersion may also serve to reduce the van der Waals adhesive forces and introduce double layer repulsion forces, thereby promoting the release of insoluble particles from surfaces. A standard wet cleaning process in common use begins with exposure to a mixture of H2SO4, H2O2, and H2O at 110-130° C., and is followed by immersion in HF or dilute HF at 20-25° C. Next a mixture of NH4OH, H2O2, and H2O at 60-80° C. removes particles, and a mixture of HC1, H2O2, and H2O at 60-80° C. removes metal contamination. Each of these steps is followed by a high purity H2O rinse. This wet cleaning process reaches fundamental barriers at dimensions less than 0.10 micrometer. As the device geometries shrink and gate oxide thickness decreases, sub-micrometer particle removal becomes increasingly difficult.

[0004] Stripping/removal of primarily organic photoresist may be performed using dilute aqueous mixtures containing H2SO4 and H2O2. Alternatively, the stripping/removal may be performed using a two-step plasma, or reactive ion etching process, followed by wet chemical cleaning of the residue material. Ozonated H2O has been used for the decomposition of hydrocarbon surface contaminants on silicon wafers.

[0005] Brush scrubbing has been used to enhance the liquid immersion process by introducing hydrodynamic shear forces to the contaminated surfaces. A typical application uses a wafer cleaning apparatus comprising two opposed brushes for brushing a vertically disposed wafer in a tank that can contain a process liquid.

[0006] The addition of ultrasonic energy can increase the effectiveness of the liquid immersion process. Sound waves vibrating at frequencies greater than 20,000 cycles per second (20 KHz), i.e., beyond the range of human hearing, have been used to transmit high frequency energy into liquid cleaning solutions.

[0007] Wet processing methods may become problematic as microelectronic circuit dimensions decrease and as environmental restrictions increase. Among the limitations of wet processing are the progressive contamination of re-circulated liquids, re-deposition from contaminated chemicals, special disposal requirements, environmental damage, special safety procedures during handling, reduced effectiveness in deeply patterned surfaces due to surface tension effects and image collapse (topography sensitivity), dependence of cleaning effectiveness on surface wet-ability to prevent re-adhesion of contaminants, and possible liquid residue causing adhesion of remaining particles. Aqueous cleaning agents that depend upon chemical reaction with surface contaminants may also present compatibility problems with new thin film materials, or with more corrosion-prone metals such as copper. In addition, the International Technology Roadmap for Semiconductors has recommended a 62% reduction in water use by the year 2005 and an 84% reduction by the year 2014 to prevent water shortages. With the continuing trend toward increasing wafer diameters having a larger precision surface area, larger volumes of liquid chemicals will be required in the fabrication process.

[0008] In view of these problems, methods for dry (anhydrous) surface cleaning of semiconductor electronic components are being developed. Among these are gas jet cleaning to remove relatively large particles from silicon wafers. However, gas jets can be ineffective for removing particles smaller than about 5 micrometers in diameter because the forces that hold particles on the surface are proportional to the particle size, while the aerodynamic drag forces generated by the flowing gas for removing the particles are proportional to the particle diameter squared. Therefore, the ratio of these forces tends to favor adhesion as the particle size shrinks. In addition, smaller particles are not exposed to strong drag forces in the jet since they normally lie within the surface boundary layer where the gas velocity is low.

[0009] Exposure to ozone combined with ultraviolet light can be used to decompose contaminating hydrocarbons from surfaces, but this technique has not been shown to remove inorganic contaminants or particles effectively.

[0010] Other alternatives to wet cleaning include the use of jets containing snow or pellet projectiles comprising frozen Ar, N2, H2O or CO2 which are used to “sandblast” contaminated surfaces. In these processes, pressurized gaseous or gas/liquid mixtures are expanded in a nozzle to a pressure near or below atmospheric pressure. The resulting Joule-Thomson cooling forms solid or liquid aerosol particles, which traverse the boundary layer and strike the contaminated surface. This technique requires extremely clean and pure processing materials. Trace molecular contaminants (e.g., hydrocarbons) in the feed gases can condense into solid particulates or droplets upon expansion, causing deposition of new contaminants on the surface. Although useful in providing removal of many surface contaminants, these processes cannot remove all of the important contaminants present on a wafer surface, and have not yet found wide acceptance in the semiconductor industry.

[0011] Immersion in supercritical fluids is another alternative to wet cleaning. The effectiveness of supercritical fluids in various cleaning and extraction applications is well established and extensively documented. Supercritical fluids have solvent power much greater than the corresponding gaseous state, and can effectively dissolve and remove unwanted films and molecular contaminants from a precision surface. The contaminants can be separated from the cleaning agent by a reduction in pressure below the critical value, which concentrates the contaminants for disposal and permits recovery and re-use of the cleaning fluid.

[0012] Supercritical CO2 in particular has been used as a versatile and cost effective method to overcome the above-mentioned problems in wafer cleaning. Supercritical CO2 effectively cleans parts with increasingly smaller dimensions and lowers water usage, thereby yielding improvements in performance and environmental benefits. Preliminary Cost of Ownership (CoO) studies have shown that supercritical CO2 cleaning is also more cost effective when compared to aqueous cleaning. CO2 in the supercritical state has particularly good solvent properties and has been found to be effective in removing organic impurities. It can be modified with added co-solvents or entrainers to widen the range of contaminants that can be removed, including particles, native or chemical oxides, metallic contaminants, and other inorganic materials. Ultrasonic energy can be introduced into supercritical fluid cleaning reactors to enhance the efficiency of the cleaning process.

[0013] Future microcircuits will have smaller feature sizes and greater complexities, and will require more processing steps in their fabrication. Contamination control in the process materials systems and processing environment will become even more critical. In view of these anticipated developments, there is a need for improved wafer cleaning methods to maintain or improve economical yields in the manufacture of these smaller and more complex microelectronic systems. In addition, the advent of smaller feature sizes and greater complexities will require improved fabrication processes steps including etching, thin film deposition, planarization, and photoresist development. Embodiments of the present invention, which are described below and defined by the following claims, address this need by improved processing methods utilizing dense processing fluids with the application of ultrasonic energy.

BRIEF SUMMARY OF THE INVENTION

[0014] A first embodiment of the invention includes a method for processing an article comprising:

[0015] (a) introducing the article into a sealable processing chamber and sealing the processing chamber;

[0016] (b) preparing a dense fluid by:

[0017] (b1) introducing a subcritical fluid into a pressurization vessel and isolating the vessel; and

[0018] (b2) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid;

[0019] (c) transferring at least a portion of the dense fluid from the pressurization vessel to the processing chamber, wherein the transfer of the dense fluid is driven by the difference between the pressure in the pressurization vessel and the pressure in the processing chamber, thereby pressurizing the processing chamber with transferred dense fluid;

[0020] (d) introducing one or more processing agents into the processing chamber either before (c), or during (c), or after (c) to provide a dense processing fluid;

[0021] (e) introducing ultrasonic energy into the processing chamber and contacting the article with the dense processing fluid to yield a spent dense processing fluid and a treated article; and

[0022] (f) separating the spent dense processing fluid from the treated article.

[0023] The dense fluid may be generated in (b2) at a reduced temperature in the pressurization vessel below about 1.8, wherein the reduced temperature is defined as the average absolute temperature of the dense fluid in the pressurization vessel after heating divided by the absolute critical temperature of the fluid. The contacting of the article with the dense processing fluid in the processing chamber in (d) may be effected at a reduced temperature in the processing chamber between about 0.8 and about 1.8, wherein the reduced temperature is defined as the average absolute temperature of the dense processing fluid in the processing chamber during (d) divided by the absolute critical temperature of the dense processing fluid.

[0024] The dense fluid may comprise one or more components selected from the group consisting of carbon dioxide, nitrogen, methane, oxygen, ozone, argon, hydrogen, helium, ammonia, nitrous oxide, hydrogen fluoride, hydrogen chloride, sulfur trioxide, sulfur hexafluoride, nitrogen trifluoride, monofluoromethane, difluoromethane, trifluoromethane, trifluoroethane, tetrafluoroethane, pentafluoroethane, perfluoropropane, pentafluoropropane, hexafluoroethane, hexafluoropropylene, hexafluorobutadiene, and octafluorocyclobutane and tetrafluorochloroethane. The dense fluid may comprise one or more hydrocarbons having 2 to 6 carbon atoms.

[0025] The total concentration of the one or more processing agents in the dense processing fluid may be between about 0.1 and 20 wt %. In one embodiment, the dense processing fluid may comprise one or more processing agents selected from the group consisting of ethyl acetate, ethyl lactate, propyl acetate, butyl acetate, diethyl ether, dipropyl ether, methanol, ethanol, isopropanol, acetonitrile, propionitrile, benzonitrile, ethylene cyanohydrin, ethylene glycol, propylene glycol, ethylene glycol monoacetate, propylene glycol monoacetate, acetone, butanone, acetophenone, trifluoroacetophenone, triethyl amine, tripropyl amine, tributyl amine, 2,4, dimethyl pyridine, dimethylethanolamine, diethylethanolamine, diethylmethanolamine, dimethylmethanolamine, dimethylformamide, dimethylacetamide, ethylene carbonate, propylene carbonate, acetic acid, lactic acid, butane-diol, propane-diol, n-hexane, n-butane, hydrogen peroxide, t-butyl hydroperoxide, ethylenediaminetetraacetic acid, catechol, choline, and trifluoroacetic anhydride.

[0026] In another embodiment, the dense processing fluid may comprise one or more processing agents selected from the group consisting of hydrogen fluoride, hydrogen chloride, chlorine trifluoride, nitrogen trifluoride, monofluoromethane, difluoromethane, trifluoromethane, trifluoroethane, tetrafluoroethane, pentafluoroethane, perfluoropropane, pentafluoropropane, hexafluoroethane, hexafluoropropylene, hexafluorobutadiene, octafluorocyclobutane tetrafluorochloroethane, fluoroxytrifluoromethane (CF4O), bis(difluoroxy)methane (CF4O2), cyanuric fluoride (C3F3N3), oxalyl fluoride (C2F2O2), nitrosyl fluoride (FNO), carbonyl fluoride (CF2O), and perfluoromethylamine (CF5N).

[0027] In yet another embodiment, the dense processing fluid may comprise one or more processing agents selected from the group consisting of organometallic precursors, photoresists, photoresist developers, interlayer dielectric materials, silane reagents, and stain-resistant coatings.

[0028] The pressure of the spent dense processing fluid may be reduced to yield at least a fluid phase and a residual compound phase, and the phases may be separated to yield a purified fluid and recovered residual compounds. The purified fluid may be recycled to provide a portion of the subcritical fluid in (b1). The pressure of the purified fluid may be reduced to yield a further-purified fluid phase and an additional residual compound phase, and the phases may be separated to yield a further-purified fluid and additional recovered residual compounds. The further-purified fluid may be recycled to provide a portion of the subcritical fluid in (b1).

[0029] The subcritical fluid in the pressurization vessel prior to heating in (b2) may comprise a vapor phase, a liquid phase, or coexisting vapor and liquid phases.

[0030] Another embodiment of the invention includes a method for processing an article comprising:

[0031] (a) introducing the article into a sealable processing chamber and sealing the processing chamber;

[0032] (b) preparing a dense processing fluid by:

[0033] (b1) introducing a subcritical fluid into a pressurization vessel and isolating the vessel;

[0034] (b2) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid; and

[0035] (b3) introducing one or more processing agents into the pressurization vessel

[0036] before introducing the subcritical fluid into the pressurization vessel, or

[0037] after introducing the subcritical fluid into the pressurization vessel but before heating the pressurization vessel, or

[0038] after introducing the subcritical fluid into the pressurization vessel and after heating the pressurization vessel;

[0039] (c) transferring at least a portion of the dense processing fluid from the pressurization vessel to the processing chamber, wherein the transfer of the dense processing fluid is driven by the difference between the pressure in the pressurization vessel and the pressure in the processing chamber, thereby pressurizing the processing chamber with transferred dense processing fluid;

[0040] (d) introducing ultrasonic energy into the processing chamber and contacting the article with the transferred dense processing fluid to yield a spent dense processing fluid and a treated article; and

[0041] (e) separating the spent dense processing fluid from the treated article.

[0042] A further embodiment of the invention includes an apparatus for processing an article which comprises:

[0043] (a) a fluid storage tank containing a subcritical fluid;

[0044] (b) one or more pressurization vessels and piping means for transferring the subcritical fluid from the fluid storage tank to one or more pressurization vessels;

[0045] (c) heating means to heat the contents of each of the one or more pressurization vessels at essentially constant volume and essentially constant density to convert the subcritical fluid into a dense fluid;

[0046] (d) a sealable processing chamber for contacting an article with the dense fluid;

[0047] (e) ultrasonic generation means for introducing ultrasonic energy into the sealable processing chamber;

[0048] (f) piping means for transferring the dense fluid from the one or more pressurization vessels into the sealable processing chamber; and

[0049] (g) one or more processing agent storage vessels and pumping means to inject one or more processing agents (1) into the one or more pressurization vessels or (2) into the piping means for transferring the dense fluid from the one or more pressurization vessels to the sealable processing chamber or (3) into the sealable processing chamber.

[0050] The apparatus may further comprise pressure reduction means and phase separation means to separate a spent dense processing fluid withdrawn from the processing chamber to yield at least a purified fluid and one or more recovered residual compounds. The apparatus may further comprise recycle means to recycle the purified fluid to the fluid storage tank.

[0051] Another embodiment of the invention relates to a method for processing an article comprising:

[0052] (a) introducing the article into a sealable processing chamber and sealing the processing chamber;

[0053] (b) providing a dense processing fluid in the processing chamber;

[0054] (c) introducing ultrasonic energy into the processing chamber and varying the frequency of the ultrasonic energy while contacting the article with the dense processing fluid to yield a spent dense processing fluid and a treated article; and

[0055] (e) separating the spent dense processing fluid from the treated article. The frequency of the ultrasonic energy may be increased during (c). Alternatively, the method of claim 19 wherein the frequency of the ultrasonic energy may be decreased during (c).

[0056] The dense processing fluid may be prepared by:

[0057] (a) introducing a subcritical fluid into a pressurization vessel and isolating the vessel;

[0058] (b) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid; and

[0059] (c) providing the dense processing fluid by one or more steps selected from the group consisting of

[0060] (1) introducing one or more processing agents into the dense fluid while transferring the dense fluid from the pressurization vessel to the processing chamber,

[0061] (2) introducing one or more processing agents into the pressurization vessel to form a dense processing fluid and transferring the dense processing fluid from the pressurization vessel to the processing chamber,

[0062] (3) introducing one or more processing agents into the dense fluid in the processing chamber after transferring the dense fluid from the pressurization vessel to the processing chamber,

[0063] (4) introducing one or more processing agents into the pressurization vessel before introducing the subcritical fluid into the pressurization vessel,

[0064] (5) introducing one or more processing agents into the pressurization vessel after introducing the subcritical fluid into the pressurization vessel but before heating the pressurization vessel, and

[0065] (6) introducing one or more processing agents into the pressurization vessel after introducing the subcritical fluid into the pressurization vessel and after heating the pressurization vessel.

[0066] Alternatively, the dense processing fluid may be prepared by:

[0067] (a) introducing a subcritical fluid into the sealable processing chamber and isolating the chamber;

[0068] (b) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid; and

[0069] (c) providing the dense processing fluid by one or more steps selected from the group consisting of

[0070] (1) introducing one or more processing agents into the sealable processing chamber before introducing the subcritical fluid into the sealable processing chamber,

[0071] (2) introducing one or more processing agents into the sealable processing chamber after introducing the subcritical fluid into the sealable processing chamber but before heating the subcritical fluid therein, and

[0072] (3) introducing one or more processing agents into the sealable processing chamber after introducing the subcritical fluid into the sealable processing chamber and after heating the subcritical fluid therein.

[0073] In an alternative embodiment of the invention, an article may be processed by a method comprising:

[0074] (a) introducing the article into a sealable processing chamber and sealing the processing chamber;

[0075] (b) providing a dense fluid in the processing chamber;

[0076] (c) introducing ultrasonic energy into the processing chamber and varying the frequency of the ultrasonic energy while contacting the article with the dense fluid to yield a spent dense fluid and a treated article; and

[0077] (e) separating the spent dense fluid from the treated article.

[0078] Another embodiment of the invention may include a method for processing an article comprising:

[0079] (a) introducing the article into a sealable processing chamber and sealing the processing chamber;

[0080] (b) providing a dense processing fluid in the processing chamber;

[0081] (c) introducing ultrasonic energy into the processing chamber intermittently while contacting the article with the dense processing fluid to yield a spent dense processing fluid and a treated article; and

[0082] (e) separating the spent dense processing fluid from the treated article.

[0083] In a further embodiment, a method for processing an article may comprise:

[0084] (a) introducing the article into a sealable processing chamber and sealing the processing chamber;

[0085] (b) providing a dense fluid in the processing chamber;

[0086] (c) introducing ultrasonic energy into the processing chamber intermittently while contacting the article with the dense fluid to yield a spent dense fluid and a treated article; and

[0087] (e) separating the spent dense fluid from the treated article.

BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS

[0088] FIG. 1 is a density-temperature phase diagram for carbon dioxide.

[0089] FIG. 2 is a generalized density-temperature phase diagram.

[0090] FIG. 3 is a process flow diagram illustrating an embodiment of the invention.

[0091] FIG. 4 is a schematic drawing of a pressurization vessel used in the embodiment of FIG. 3.

DETAILED DESCRIPTION OF THE INVENTION

[0092] Cleaning is the most frequently repeated step in the manufacture of integrated circuits. At the 0.18-micrometer design rule, 80 of the approximately 400 total processing steps are cleaning steps. Wafers typically are cleaned after every contaminating process step and before each high temperature operation to ensure the quality of the circuit. Exemplary cleaning and removal applications include photoresist stripping/removal, particle/residue removal for post-chemical mechanical planarization (post-CMP cleaning), particle/residue removal for post-dielectric etching (or post-metal etching), and removal of metal contaminants.

[0093] A wide variety of contamination-sensitive articles encountered in the fabrication of microelectronic devices and micro-electromechanical devices can be cleaned or processed using embodiments of the present invention. Such articles may include, for example, silicon or gallium arsenide wafers, reticles, photomasks, flat panel displays, internal surfaces of processing chambers, printed circuit boards, surface mounted assemblies, electronic assemblies, sensitive wafer processing system components, electro-optical, laser and spacecraft hardware, surface micro-machined systems, and other related articles subject to contamination during fabrication. Typical contaminants to be removed from these articles in a cleaning process may include, for example, low and high molecular weight organic contaminants such as exposed photoresist material, photoresist residue, UV- or X-ray-hardened photoresist, C-F-containing polymers and other organic and inorganic etch residues, ionic and neutral, light and heavy inorganic (metal) species, moisture, and insoluble materials, including post-planarization particles.

[0094] Dense fluids are well-suited to convey processing agents to articles such as microelectronic components undergoing processing steps and for removing undesirable components from the microelectronic components upon completion of the process steps. These process steps typically are carried out batchwise and may include, for example, cleaning, film stripping, etching, deposition, drying, photoresist development, and planarization. Other uses for dense fluids include precipitation of nano-particles and suspension of metallic nano-crystals.

[0095] Dense fluids are ideal for these applications because these fluids characteristically have high solvent power, low viscosity, high diffusivity, and negligible surface tension relative to the articles being processed. As pointed out above, the processing fluids used in microelectronic processing must have extremely high purity, much higher than that of similar fluids used in other applications. The generation of extremely high purity dense fluids for these applications must be done with great care, preferably using the methods described herein.

[0096] A single-component supercritical fluid is defined as a fluid above its critical temperature and pressure. A related single-component fluid having similar properties to a supercritical fluid is a single-phase fluid which exists at a temperature below its critical temperature and a pressure above its liquid saturation pressure. In the present disclosure, the term “dense fluid” as applied to a single-component fluid is defined to include both a supercritical fluid and a single-phase fluid which exists at a temperature below its critical temperature and a pressure above its saturation pressure. A single-component dense fluid also can be defined as a single-phase fluid at a pressure above its critical pressure or a pressure above its liquid saturation pressure. The term “component” as used herein means an element (for example, hydrogen, helium, oxygen, nitrogen) or a compound (for example, carbon dioxide, methane, nitrous oxide, sulfur hexafluoride).

[0097] A single-component subcritical fluid is defined as a fluid at a temperature below its critical temperature or a pressure below its critical pressure.

[0098] A dense fluid alternatively may comprise a mixture of two or more components. In this case, the dense fluid is defined as a single-phase multi-component fluid of a given composition which is above its saturation or bubble point pressure, or which has a combination of pressure and temperature above the mixture critical point. The critical point for a multi-component fluid is defined as the combination of pressure and temperature above which the fluid of a given composition exists only as a single phase. In the present disclosure, the term “dense fluid” as applied to a multi-component fluid is defined to include both a supercritical fluid and a single-phase fluid that exists at a temperature below its critical temperature and a pressure above its bubble point or saturation pressure. A multi-component dense fluid also can be defined as a single-phase multi-component fluid at a pressure above its critical pressure or a pressure above its bubble point or liquid saturation pressure. A multi-component dense fluid differs from a single-component dense fluid in that the liquid saturation pressure, critical pressure, and critical temperature are functions of composition. As described below, dense fluids may be prepared according to embodiments of the invention from an initial subcritical fluid having a fixed density and composition.

[0099] A multi-component subcritical fluid is defined as a multi-component fluid of a given composition which is at or below its saturation or bubble point pressure, or which has a combination of pressure and temperature below the mixture critical point.

[0100] The generic definition of a dense fluid thus includes a single component dense fluid as defined above as well as a multi-component dense fluid as defined above. Similarly, a subcritical fluid may be a single-component fluid or a multi-component fluid.

[0101] The definition of a dense fluid for a single component is illustrated in FIG. 1, which is a representative density-temperature phase diagram for carbon dioxide. This diagram shows saturated liquid curve 1 and saturated vapor curve 3, which merge at critical point 5 at the critical temperature of 87.9° F. and critical pressure of 1,071 psia. Lines of constant pressure (isobars) are shown, including the critical isobar of 1,071 psia. Line 7 is the melting curve. The region to the left of and enclosed by saturated liquid curve 1 and saturated vapor curve 3 is a two-phase vapor-liquid region. The region outside and to the right of liquid curve 1, saturated vapor curve 3, and melting curve 7 is a single-phase fluid region. The dense fluid as defined herein is indicated by cross-hatched region 9.

[0102] A generic density-temperature diagram can be defined in terms of reduced temperature, reduced pressure, and reduced density as shown in FIG. 2. The reduced temperature (TR) is defined as the absolute temperature divided by the absolute critical temperature, reduced pressure (PR) is defined as the absolute pressure divided by the absolute critical pressure, and reduced density (PR) is defined as the density divided by the critical density. The reduced temperature, reduced pressure, and reduced density are all equal to 1 at the critical point by definition. FIG. 2 shows analogous features to FIG. 1 including saturated liquid curve 201 and saturated vapor curve 203, which merge at critical point 205 at a reduced temperature of 1, a reduced density of 1, and a reduced pressure of 1. Lines of constant pressure (isobars) are shown, including critical isobar 207 for which PR=1. The region to the left of and enclosed by saturated liquid curve 201 and saturated vapor curve 203 is the two-phase vapor-liquid region. The crosshatched region 209 above the PR=1 isobar and to the right of the critical temperature TR=1 is a single-phase supercritical fluid region. The crosshatched region 211 above saturated liquid curve 201 and to the left of the critical temperature TR=1 is a single-phase compressed liquid region. The dense fluid as defined herein includes both single-phase supercritical fluid region 209 and single-phase compressed liquid region 211.

[0103] The generation of a dense fluid in embodiments of the present invention is illustrated in FIG. 2. In one embodiment, a saturated liquid at point a is introduced into a vessel and sealed therein. The sealed vessel is heated isochorically, i.e., at essentially constant volume, and isopycnically, i.e., at essentially constant density. The fluid moves along the line as shown to point a′ to form a supercritical fluid in region 209. This is generically a dense fluid as defined above. Alternatively, the fluid at point a may be heated to a temperature below the critical temperature (TR=1) to form a compressed liquid. This also is a generic dense fluid as defined above. In another embodiment, a two-phase vapor liquid mixture at point b is introduced into a vessel and sealed therein. The sealed vessel is heated isochorically, i.e., at essentially constant volume, and isopycnically, i.e., at essentially constant density. The fluid moves along the line as shown to point b′ to form a supercritical fluid in region 209. This is generically a dense fluid as defined above. In another embodiment, a saturated vapor at point c is introduced into a vessel and sealed therein. The sealed vessel is heated isochorically, i.e., at essentially constant volume, and isopycnically, i.e., at essentially constant density. The fluid moves along the line as shown to point c′ to form a supercritical fluid in region 209. This is generically a dense fluid as defined above.

[0104] The final density of the dense fluid is determined by the volume of the vessel and the relative amounts of vapor and liquid originally introduced into the vessel. A wide range of densities thus is achievable by this method. The terms “essentially constant volume” and “essentially constant density” mean that the density and volume are constant except for negligibly small changes to the volume of the vessel that may occur when the vessel is heated.

[0105] A dense fluid for practical application in the present invention may be either a single-component fluid or a multi-component fluid, and may have a reduced temperature in the range of about 0.8 to about 1.8. The reduced temperature is defined here as the absolute temperature of the fluid divided by the absolute critical temperature of the fluid.

[0106] The dense fluid may comprise, but is not limited to, one or more components selected from the group consisting of carbon dioxide, nitrogen, methane, oxygen, ozone, argon, hydrogen, helium, ammonia, nitrous oxide, hydrocarbons having 2 to 6 carbon atoms, hydrogen fluoride, hydrogen chloride, sulfur trioxide, sulfur hexafluoride, nitrogen trifluoride, chlorine trifluoride, monofluoromethane, difluoromethane, trifluoromethane, trifluoroethane, tetrafluoroethane, pentafluoroethane, perfluoropropane, pentafluoropropane, hexafluoroethane, hexafluoropropylene, hexafluorobutadiene, octafluorocyclobutane and tetrafluorochloroethane.

[0107] A dense processing fluid is defined as a dense fluid to which one or more processing agents have been added. A processing agent is defined as a compound or combination of compounds that promote physical and/or chemical changes to an article or substrate in contact with the dense processing fluid. These processing agents may include, for example, film strippers, cleaning or drying agents, entrainers, etching or planarization reactants, photoresist developers, and deposition materials or reactants. The total concentration of these processing agents in the dense processing fluid typically is less that about 50 wt % and may be in the range of 0.1 to 20 wt %. The dense processing fluid typically remains a single phase after a processing agent is added to a dense fluid. Alternatively, the dense processing fluid may be an emulsion or suspension containing a second suspended or dispersed phase containing the processing agent. The dense processing fluid may be used in processing such as film stripping, cleaning, drying, etching, planarization, deposition, extraction, photoresist development, or formation of suspended nano-particles and nano-crystals.

[0108] The term “processing” or “processed” as used herein means contacting an article with a dense fluid or a dense processing fluid to effect physical and/or chemical changes to the article. The term “article” as used herein means any article of manufacture which can be contacted with a dense fluid or a dense processing fluid. Representative articles may include, for example, silicon or gallium arsenide wafers; reticles; photomasks; flat panel displays; internal surfaces of processing chambers; printed circuit boards; surface mounted assemblies; electronic assemblies; sensitive wafer processing system components; electro-optical, laser and spacecraft hardware; surface micro-machined systems; and other related articles subject to contamination during fabrication. The term “processing” may include, for example, film stripping, cleaning, drying, etching, planarization, deposition, extraction, photoresist development, or formation of suspended nano-particles and nano-crystals.

[0109] An embodiment of the invention can be illustrated by the generation and use of a dense processing fluid for use in the cleaning or processing of an article such as a microelectronic component. An exemplary process for this embodiment is shown in FIG. 3, which illustrates an isochoric (constant volume) carbon dioxide pressurization system to generate a carbon dioxide dense fluid for an ultrasonic electronic component cleaning chamber or processing tool, and includes a carbon dioxide recovery system to recycle carbon dioxide after separation of extracted contaminants. Liquid carbon dioxide and its equilibrium vapor are stored in carbon dioxide supply vessel 301, typically at ambient temperature; at 70° F., for example, the vapor pressure of carbon dioxide is 854 psia. At least one carbon dioxide pressurization vessel is located downstream of the supply vessel 301. In this embodiment, three pressurization vessels 303, 305, and 309 (described in more detail below) are shown in flow communication with carbon dioxide supply vessel 301 via manifold 311 and lines 313, 315, and 317 respectively. These lines are fitted with valves 319, 321, and 323, respectively, to control flow of carbon dioxide from supply vessel 301 to the pressurization vessels. Fluid supply lines 325, 327, and 329 are connected to manifold 331 via valves 333, 335, and 337 respectively.

[0110] A detailed illustration of pressurization vessel 303 is given in FIG. 4. Pressurization vessel 303 comprises outer pressure casing 401, inner vessel 403, and thermal insulation 405 between the inner vessel and the outer pressure casing. The thermal mass of inner vessel 403 is preferably minimized to minimize the cool-down time when the vessel is initially filled from carbon dioxide supply vessel 301. Inner vessel 403 is in fluid communication with thermal insulation 405 via opening 407 to ensure that the pressures inside and outside of inner vessel 403 are approximately equal, which allows the wall thickness and thermal mass of inner vessel 403 to be minimized. Opening 407 may contain a de-misting medium, such as metal mesh or porous sintered metal (not shown), to prevent liquid carbon dioxide droplets from migrating into thermal insulation 405.

[0111] The level of liquid in the pressurization vessel may be monitored conveniently by differential pressure sensor 409, which is in fluid communication with the interior of inner vessel 403 via lines 411, 413, and 415. A typical liquid level is shown between liquid 417 and vapor 419 in inner vessel 403. Inner vessel 403 is in fluid communication with lines 313 and 325 of FIG. 3 via line 420.

[0112] Heat may be supplied to inner vessel 403 by any desired method. In one embodiment, hot heating fluid 421 is supplied via line 423 to heat exchanger 425, which heats liquid 417 and vapor 419 by indirect heat exchange. Cooled heating fluid is withdrawn via line 427. Heat exchanger 425 can be any type of heat exchange assembly. One type of useful heat exchange assembly is a longitudinally-finned pipe as shown in which a plurality of fins 429 are brazed or welded to pipe 431. The temperature and flow rate of heating fluid 421 may be regulated to control the heating rate during pressurization and the final temperature and pressure of the dense fluid formed within inner vessel 403.

[0113] Returning now to FIG. 3, carbon dioxide supply vessel 301 is connected via two-way flow line 339 to carbon dioxide liquefier 341 located above the carbon dioxide supply vessel 301. Heat exchanger 343, which may be a plate and fin or other type of heat exchanger such as heat exchanger 425 of FIG. 4, is used to cool the interior of liquefier 341. A cooling fluid is supplied via line 330 and may be, for example, cooling water at an ambient temperature of 70° F., which will maintain the pressure in carbon dioxide supply vessel 301 at the corresponding carbon dioxide vapor pressure of 854 psia.

[0114] In this illustration, valve 319 is open while valves 321, 323, and 333 are closed. Valve 335 or 337 may be open to supply dense fluid carbon dioxide to manifold 331 from pressurization vessel 305 or 309, which previously may have been charged with carbon dioxide and pressurized as described below. Liquid carbon dioxide from supply vessel 301 flows downward into pressurization vessel 303 via manifold 311, valve 319, and line 313. As the liquid carbon dioxide enters pressurization vessel 303, which was warmed in a previous cycle, initial liquid flashing will occur. Warm flash vapor returns upward into the carbon dioxide supply vessel 301 via line 313 and manifold 311 as liquid flows downward into pressurization vessel 303. The warm flash vapor flows back into carbon dioxide supply vessel 301 and increases the pressure therein. Excess vapor flows from supply vessel 301 via line 339 to carbon dioxide liquefier 341, wherein the vapor is cooled and condensed to flow downward via line 339 back to supply vessel 301.

[0115] After initial cooling and pressurization, liquid carbon dioxide flows from supply vessel 301 into pressurization vessel 303. When the pressurization vessel is charged with liquid carbon dioxide to a desired depth, valve 319 is closed to isolate the vessel. The carbon dioxide isolated in vessel 303 is heated by indirect heat transfer as described above and is pressurized as temperature increases. The pressure is monitored by pressure sensor 345 (pressure sensors 347 and 349 are used similarly for vessels 305 and 309 respectively). As heat is transferred to the carbon dioxide in vessel 303, the temperature and pressure rise, the separate liquid and vapor phases become a single phase, and a dense fluid is formed. This dense fluid may be heated further to become a supercritical fluid, which by definition is a fluid at a temperature above its critical temperature and a pressure above its critical pressure. Conversely, the subcritical fluid is defined as a fluid at a temperature below its critical temperature or a pressure below its critical pressure. The carbon dioxide charged to pressurization vessel 303 prior to heating is a subcritical fluid. This subcritical fluid may be a saturated vapor, a saturated liquid, or a two-phase fluid having coexisting vapor and liquid phases.

[0116] As additional heat is transferred, the temperature and pressure quickly rise to supercritical levels to form a supercritical fluid having a desired density. The final carbon dioxide pressure in the pressurization vessel of a known volume can be predicted from the volume of the initial liquid charge. For example, at 854 psia and 70° F. the density of liquid carbon dioxide in the vessel is 47.6 lb/ft3 and the density of the coexisting carbon dioxide vapor is 13.3 lb/ft3. If the liquid carbon dioxide charge occupies 46.3% of the volume of the vessel, then the carbon dioxide vapor occupies the remaining 53.7% of the volume. In this example, the average density of all carbon dioxide in the vessel can be calculated as 0.463 (47.6)+0.537 (13.3), or 29.2 lb/ft3.

[0117] Since the internal volume of the vessel and the mass of carbon dioxide in the vessel remain essentially unchanged during the heating step, the average density of the captured carbon dioxide will remain essentially unchanged at 29.2 lb/ft3 regardless of the temperature and pressure. In this example, heating the selected initial charge of carbon dioxide isochorically (at constant volume) at a fixed density of 29.2 lb/ft3 will pass through the critical point at the critical temperature of 87.9° F. and the critical pressure of 1,071 psia. Additional heating will form a supercritical fluid at the desired temperature and pressure having a fixed density of 29.2 lb/ft3. Using a smaller initial quantity of liquid carbon dioxide in the vessel will result in a lower density supercritical fluid; conversely, using a greater initial quantity of liquid carbon dioxide in the vessel will result in a higher density supercritical fluid. Heating a higher density supercritical fluid to a given temperature will generate a higher pressure than heating a lower density supercritical fluid to the same temperature.

[0118] The highest theoretically achievable pressure is obtained when the pressurization vessel initially is completely filled with liquid carbon dioxide, leaving no vapor head space in the vessel. For example, the average density of the saturated carbon dioxide liquid in the vessel at 70° F. is 47.6 lb/ft3. Initial heating of the liquid carbon dioxide will change the saturated liquid into a dense fluid in a region of the phase diagram sometimes termed a compressed liquid or subcooled liquid. As the fluid is heated above the critical temperature of 87.9° F., it becomes a supercritical fluid by definition. In this example, the carbon dioxide may be heated at a constant density of 47.6 lb/ft3 to a temperature of 189° F. to yield a supercritical fluid at a pressure of approximately 5,000 psia.

[0119] By using the method illustrated in the above examples, a dense fluid can be prepared at any selected density, temperature, and pressure. Only two of these three parameters are independent when the composition is fixed; the preferred and most convenient way to prepare a dense fluid is to select an initial charge density and composition in the pressurization vessel and then heat-the charge to a desired temperature. Proper selection of the initial charge density and composition will yield the desired final pressure.

[0120] When carbon dioxide is used for a single-component dense processing fluid, the carbon dioxide may be heated to a temperature between about 100° F. and about 500° F. to generate the desired dense fluid pressure in the pressurization vessel. More generally, when using any component or components for the dense fluid, the fluid may be heated to a reduced temperature in the pressurization vessel of up to about 1.8, wherein the reduced temperature is defined as the average absolute temperature of the fluid in the pressurization vessel after heating divided by the absolute critical temperature of the fluid. The critical temperature is defined for a fluid containing any number of components as that temperature above which the fluid always exists as a single fluid phase and below which two phases may form.

[0121] Returning now to FIG. 3, valve 333 is opened and dense fluid prepared as described above passes through manifold 331 under flow control through metering valve 351. Optionally, one or more entrainers or processing agents from entrainer storage vessels 353 and 355 may be introduced by pumps 357 and 359 into the dense fluid in line 361 to provide a dense processing fluid, which in a cleaning application may be described as a dense cleaning fluid. The dense processing fluid is introduced into sealable processing chamber or process tool 362 which holds one or more articles 363 to be cleaned or processed, and valve 333 is closed. These articles were previously placed on holder 365 in process tool 362 via a sealable entry port (not shown). The temperature in process tool 362 is controlled by means of temperature control system 367. Fluid agitator system 369 mixes the interior of process tool 362 to promote contact of the dense processing fluid with articles 363.

[0122] Processing chamber or process tool 362 is fitted with ultrasonic generator 370, which is an ultrasonic transducer array connected to high frequency power supply 371. The ultrasonic transducer may be any commercially available unit such as, for example, an ultrasonic horn from Morgan Electro Ceramics of Southampton, England. Ultrasonic generator 370 typically may be operated in a frequency range of 20 KHz to 2 MHz. In the present disclosure, the term “ultrasonic” refers to any wave or vibration having a frequency above the human audible limit of about 20 KHz. High frequency power supply 371 typically provides power in an ultrasonic power density range of about 20 W/in2 to about 40 W/in2. The interior of process tool 362 typically is exposed to ultrasonic waves for 30 to 120 seconds during the cleaning step.

[0123] Ultrasonic transducers can be constructed from piezoelectric or magnetostrictive structures. Piezoelectric transducers contain crystals that oscillate at ultrasonic frequencies when alternating current is applied. Sturdier magnetostrictive transducers consist of a piece of iron or nickel surrounded by an electric coil. Such transducers are commonly built into a “probe” assembly, which includes an acoustically designed booster and a horn (not shown). Such probes can be used to maximize power transmission into the fluid, pressure vessel wall, or substrate holder.

[0124] Ultrasonic transducer array 370 may be mounted horizontally above and facing the articles being processed, as is shown in FIG. 3, such that the sonic waves are generated in a downward direction and impinge directly on articles 363. Alternatively, the transducer array may be mounted vertically on either side of the articles being cleaned (not shown) such that the ultrasonic waves are generated in a horizontal direction across the articles being cleaned.

[0125] In another alternative, the transducer array may be mounted horizontally below and in contact with holder 365 (not shown) such that the ultrasonic waves are generated in a generally vertical direction and transmitted upward through holder 365. This configuration can be used, for example, to apply the maximum ultrasonic energy to the surface of a wafer, principally when chemical reactions such as thin film deposition, etching, or electropolishing occur at the wafer surface. The wafer can be positioned in any orientation, i.e., facing up, facing down, or facing sideways. In this case, acoustic streaming carries reaction products and contaminants away from the surface. The flow sweeps in from the sides and away from the surface. Dissolved materials and suspended particles tend to migrate away from areas of sonic energy concentration, and this arrangement would tend to carry concentrated materials away from the surface and away from the ultrasonic source.

[0126] While ultrasonic transducer array ultrasonic generator 370 as shown in FIG. 3 is mounted within process tool 362, it may be mounted alternatively on the outside surface of the process tool vessel such that the generated sonic energy is transmitted through the walls of the vessel.

[0127] The initial pressure in pressurization vessel 303 and the temperature in process tool 362 may be selected so that the dense cleaning fluid in process tool 362 after the transfer step typically is a single-phase dense fluid as defined above, whether or not an entrainer or other processing agent is added to the original dense fluid. Alternatively, the dense processing fluid may be an emulsion or suspension containing a second suspended or dispersed phase containing the processing agent.

[0128] A wide variety of contamination-sensitive articles may be encountered in the fabrication of microelectronic devices, and these micro-electromechanical devices can be cleaned or processed using the present invention. Such articles may include, for example, silicon or gallium arsenide wafers, reticles, photomasks, flat panel displays, internal surfaces of processing chambers, printed circuit boards, surface mounted assemblies, electronic assemblies, sensitive wafer processing system components, electro-optical, laser and spacecraft hardware, surface micro-machined systems, and other related articles subject to contamination during fabrication. Typical contaminants that can be removed from these articles in a cleaning process may include, for example, low and high molecular weight organic contaminants such as exposed photoresist material, photoresist residue, UV- or X-ray-hardened photoresist, C-F-containing polymers and other organic and inorganic etch residues, ionic and non-ionic inorganic metal-containing compounds, moisture, and insoluble materials including post-planarization particles.

[0129] Sealed process tool 362 may be pressurized with the dense cleaning fluid to a typical supercritical pressure of 1,100 to 10,000 psia, preferably 1,500 to 7,500 psia. The tool typically operates at a supercritical temperature of up to 500° F., and may operate in a range of 100° F. to 200° F. The temperature in process tool 362 is controlled by means of temperature control system 367. Typically, the contacting of articles 363 with the dense cleaning fluid in process tool 362 may be effected at a reduced temperature above 1.0 and typically below about 1.8, wherein the reduced temperature is defined as the average absolute temperature of the fluid in the cleaning chamber divided by the absolute critical temperature of the fluid.

[0130] Several alternatives to the introduction of entrainer or processing agent into line 361 to mix with the dense fluid prior to flowing into process tool 362 are possible. In one alternative, entrainer may be introduced directly into process tool 362 before the tool is charged with dense fluid from pressurization vessel 303. In another alternative, entrainer may be introduced directly into process tool 362 after the tool is charged with dense fluid. In yet another alternative, entrainer may be introduced directly into pressurization vessel 303 before the vessel is charged from supply vessel 301. In a further alternative, entrainer may be introduced directly into pressurization vessel 303 after the vessel is charged from supply vessel 301 but before the vessel is heated. In a final alternative, entrainer may be introduced directly into pressurization vessel 303 after the vessel is charged from supply vessel 301 and after the vessel is heated. Any of these alternatives can be accomplished using the appropriate lines, manifolds, and valves in FIG. 3.

[0131] In addition to the intense agitation provided by ultrasonic transducer 370, the interior of process tool 362 may be mixed by fluid agitator system 369 to enhance contact of the dense cleaning fluid with articles 363. Additional fluid agitation may be provided by a recirculating fluid system consisting of pump 372 and filter 373. Filter 373 serves to remove particulate contamination from the recirculating fluid, and the resulting fluid agitation mixes the dense fluid and promotes removal of contaminants or reaction products from the contaminated articles by increasing convective fluid motion.

[0132] When the cleaning cycle is complete, process tool 362 is depressurized by opening valves 375 and 377 whereby the contaminated dense fluid flows through heat exchanger 379, where it is cooled to a temperature of 70° F. to 150° F. This reduction in pressure and temperature condenses the dissolved contaminants and entrainers in the dense fluid, and the resulting fluid containing suspended contaminants and entrainers flows via line 381 into separator 383. Condensed contaminants and entrainers are removed via line 385 and the purified fluid flows via line 387 to intermediate fluid storage vessel 389. The pressure in storage vessel 389 is between the supercritical extraction pressure in process tool 362 and the pressure of carbon dioxide supply vessel 301. Typically, process tool 362 is depressurized in this step to a pressure of 900 to 1,100 psia.

[0133] During the depressurization step, valve 333 optionally may be opened so that carbon dioxide from pressurization vessel 303 also flows through cooler 370 and separator 383 with the contaminated depressurization fluid. Optionally, after process tool 362 is initially depressurized, carbon dioxide from pressurization vessel 303 may be used to partially pressurize and rinse process tool 362 to dilute and remove residual contaminants and entrainers therefrom, after which the process tool would be depressurized through cooler 379 and separator 383 to a pressure of 900 to 1,100 psia. After closing valves 375 and 377, the remaining carbon dioxide in process tool 362 then is vented through valve 391 to reduce the pressure to atmospheric. Process tool 362 optionally then may be evacuated to a subatmospheric pressure. At this point, the sealable entry port (not shown) of process tool 362 is opened, the processed articles are removed, and another group of contaminated articles is loaded for the next cleaning cycle.

[0134] Optionally, another cooler and separator (not shown) similar to cooler 379 and separator 383 may be installed in line 387. The use of this second stage of separation at an intermediate pressure allows more efficient separation of contaminants and entrainers from the carbon dioxide solvent, and may allow a degree of fractionation between the contaminants and entrainers.

[0135] Carbon dioxide in intermediate fluid storage vessel 389, typically at a pressure in the range of 900 to 1,100 psia, may be filtered by filter system 393 before being recycled via line 395 and valve 397 to liquefier 341, where it is liquefied and returned to carbon dioxide supply vessel 301 for reuse. Makeup carbon dioxide may be added as a vapor through line 398 and valve 399 or added as a liquid directly (not shown) to carbon dioxide supply vessel 301.

[0136] Alternatively, the purified carbon dioxide in line 387 or line 395 may be vented directly to the atmosphere (not shown) without recycling as described above. In this embodiment, the carbon dioxide is introduced via line 398 and valve 399 and is used in a once-through mode.

[0137] Multiple pressurization vessels may be used in the exemplary process as described above. For example, when pressurization vessel 303 of FIG. 3 is in the process of filling and heating, pressurization vessel 305 (which was previously filled and heated to provide dense fluid at the desired conditions) can supply process tool 362 via line 327, valve 335, manifold 331, and line 361. A cycle can be envisioned in which the three pressurization vessels 303, 305, and 307 operate in a staggered cycle in which one supplies dense fluid to process tool 362, another is being filled with carbon dioxide from carbon dioxide supply vessel 301, and the third is being heated after filling. Utilizing multiple pressurization vessels in this manner increases the productivity of process tool 362 and allows for backup if one of the pressurization vessels is taken off line for maintenance.

[0138] The exemplary process described above uses carbon dioxide as the dense fluid, but other dense fluid components may be used for appropriate applications. The dense fluid may comprise one or more components selected from the group consisting of carbon dioxide, nitrogen, methane, oxygen, ozone, argon, hydrogen, helium, ammonia, nitrous oxide, hydrocarbons having 2 to 6 carbon atoms, hydrogen fluoride, hydrogen chloride, sulfur trioxide, sulfur hexafluoride, nitrogen trifluoride, chlorine trifluoride, and fluorocarbons such as, but not limited to, monofluoromethane, difluoromethane, trifluoromethane, trifluoroethane, tetrafluoroethane, pentafluoroethane, perfluoropropane, pentafluoropropane, hexafluoroethane, hexafluoropropylene (C3F6), hexafluorobutadiene (C4F6), octafluorocyclobutane (C4F8) and tetrafluorochloroethane.

[0139] A dense processing fluid is generically defined as a dense fluid to which one or more processing agents have been added. A processing agent is defined as a compound or combination of compounds that promote physical and/or chemical changes to an article or substrate in contact with the dense processing fluid. These processing agents may include, for example, film strippers, cleaning or drying agents, entrainers, etching or planarization reactants, photoresist developers, and deposition materials or reactants. The total concentration of these processing agents in the dense processing fluid typically is less that about 50 wt % and may be in the range of 0.1 to 20 wt %. The dense processing fluid typically remains a single phase after a processing agent is added to a dense fluid. Alternatively, the dense processing fluid may be an emulsion or suspension containing a second suspended or dispersed phase containing the processing agent.

[0140] The exemplary process described above with reference to FIG. 3 may utilize one or more entrainers mixed with a dense fluid to provide a dense film stripping or cleaning fluid containing 0.1 to 20 wt % entrainer. An entrainer is defined as a processing agent that enhances the cleaning ability of the dense fluid to remove contaminants from a contaminated article. Entrainers generally may include solvents, surfactants, chelators and chemical modifiers. Some examples of representative entrainers include acetylenic alcohols and diols, organosilicones, ethyl acetate, ethyl lactate, propyl acetate, butyl acetate, diethyl ether, dipropyl ether, methanol, ethanol, isopropanol, acetonitrile, propionitrile, benzonitrile, ethylene cyanohydrin, ethylene glycol, propylene glycol, ethylene glycol monoacetate, propylene glycol monoacetate, acetone, butanone, acetophenone, trifluoroacetophenone, triethyl amine, tripropyl amine, tributyl amine, 2,4, dimethyl pyridine, dimethylethanolamine, diethylethanolamine, diethylmethanolamine, dimethylmethanolamine, dimethylformamide, dimethylacetamide, ethylene carbonate, propylene carbonate, acetic acid, lactic acid, butane-diol, propane-diol, n-hexane, n-butane, hydrogen peroxide, t-butyl hydroperoxide, and chelating agents such as ethylenediaminetetraacetic acid (EDTA), catechol, choline, beta-diketone and beta-ketoimine ligands, trifluoroacetic anhydride (TFM), halogenated carboxylic acids, halogenated glycols, and halogenated alkanes.

[0141] Dense processing fluids prepared and managed by the methods of the present invention may be used in other processing steps in the manufacture of electronic components in which material is removed from a part (etching, drying, or planarization), in which material is deposited on a part (thin film deposition), or in which material on a part is chemically modified (photoresist development).

[0142] Surface etching is a chemical reaction process, typically performed using liquid mixtures or dry plasma processes. During semiconductor substrate processing, such etching is used to reduce surface thickness, remove unwanted layers such as surface oxide, and create surface features such as trenches and via holes. Surface etching can be performed in a dense phase fluid system using ultrasonic wave enhancement.

[0143] Ultrasonic waves can be used to enhance the reaction speed of thin metal film deposition. Such films typically are deposited from metallic precursors that undergo a reduction reaction at a heated surface using a reductant such as hydrogen. The use of ultrasonic energy with a dense processing fluid increases the rate of the reaction, thereby improving process efficiency and improving the quality of the thin film.

[0144] Photoresist development is normally performed in a liquid phase system using chemicals such as tetramethyl ammonium hydroxide (TMAH) to develop exposed photoresist. This process can be performed in a dense phase fluid system according to the present invention using ultrasonic energy to enhance the surface chemical reactions which occur in photoresist development. The application of ultrasonic energy in a dense phase processing fluid can improve the diffusion of chemical reactants and reaction products near the surface of the articles being processed.

[0145] In these alternative processing steps, appropriate processing agents or reactive compounds may be added to the dense fluid to form a dense processing fluid. Some representative reactive compounds that can be added to a dense fluid as processing agents for etching or planarization processes may include, for example, hydrogen fluoride, hydrogen chloride, hexafluoroethane, nitrogen trifluoride, reactive polishing slurries (containing alumina, silica, ceria or magnesium abrasive particles suspended in an acidic, or alkaline, i.e., potassium hydroxide- or ammonia-containing mixture), and electrolytic solutions for reverse electroplating of metal surfaces. Some representative reactive and non-reactive compounds that can be added to a dense fluid for deposition processes may include, for example include organometallic precursors, photoresists, photoresist developers, interlayer dielectric materials, silane reagents and various coating materials, including but not limited to stain resistant coatings. A representative reactive compound that may be added to a dense fluid for photoresist development processesis tetramethyl ammonium hydroxide (TMAH). Methanol is a representative compound that may be added to a dense fluid for drying processes. In these alternative uses of dense processing fluids, process tool 362 of FIG. 3 as described above for cleaning can be replaced with the appropriate process tool for these alternative applications.

[0146] The present invention combines ultrasonic energy and dense fluid immersion concurrently in the same processing tool. The semiconductor substrate or article being processed thereby is exposed to enhanced dense fluid processing comprising dissolution and/or chemical reaction combined with a simultaneous, ultrasonic energy enhancement of the process. The auxiliary mechanisms for fluid agitation described above, namely fluid agitator system 369 and recirculating fluid system consisting of pump 372 and filter 373, may also be used to enhance ultrasonic agitation. In this manner, the solvent or processing agent in a cleaning process can achieve greater penetration into relatively thick contaminant films, such as photoresist, and the inert insoluble residues are removed through the energy imposed by fluid phase oscillations. Insoluble particles may be removed through a combination of oscillatory effects and acoustic streaming (induced flow in the cleaning fluid).

[0147] The increased rate of solvent penetration into contaminant films provided by ultrasonic energy is advantageous in semiconductor substrate cleaning applications, where high throughput is necessary in order to provide an economical process. Ultrasonic agitation also tends to increase the uniformity of the cleaning process and thereby provides better cleaning or surface treatment performance than can be achieved using dense fluid immersion alone.

[0148] Ultrasonic energy causes localized pressure fluctuations in the dense fluid or dense processing fluid, which aids in cleaning or processing performance. These pulsations in pressure created by the ultrasonic waves cause corresponding oscillations in the density of the dense fluid about a mean value, which in turn causes corresponding oscillations in the solvent power of the fluid about the mean value. The solvent power therefore varies cyclically between maximum and minimum values during the process, and maximum achieved solvent power therefore exceeds the mean value that would be available without ultrasonic waves. This in turn increases the overall effectiveness of the dissolution process without a concomitant increase in the mean operating pressure. Conventional wet ultrasonic cleaning utilizes transient cavitation of liquids followed by bubble collapse, and the resulting energy release, to dislodge contaminants. Such cavitation can damage the delicate features of modem semiconductor devices. The process of the present invention preferably is operated entirely in the dense fluid region such that no phase change occurs, and therefore no cavitation can occur. Embodiments of the invention instead utilize high frequency fluid oscillations to excite adhered contaminants near their natural frequencies, thereby producing dislodgement. Since cavitation is suppressed, power dissipation is minimized, and acoustic streaming is enhanced.

[0149] A further embodiment of the invention is the use of variable frequency ultrasonic treatment in which the ultrasonic frequency is varied during the processing period. Variable frequency ultrasonic treatment eliminates static vibrational nodes on the surface of the article being processed and ensures, for example, that particles having wide-ranging natural frequencies are dislodged and suspended in the dense processing fluid. Frequencies utilized in this invention may span the range from typical ultrasonic to megasonic values (approximately 20 KHz to 2 MHz). In one embodiment, the variable frequency ultrasonic treatment may comprise starting the cleaning or processing period at a frequency in the lower end of this range and increasing the frequency gradually during the cleaning period to a final frequency in the upper end of this range. Alternatively, the variable frequency ultrasonic treatment may comprise starting the cleaning or processing period at a frequency in the higher end of this range and decreasing the frequency gradually during the period to a final frequency in the lower end of this range. In another alternative, the frequency can be raised and lowered in this range multiple times during the cleaning or processing period.

[0150] In another embodiment of the invention, ultrasonic energy is introduced intermittently into the process tool during the cleaning or processing period. In this embodiment, on-off actuation of the ultrasonic transducer provides intermittent bursts of power in the dense phase processing fluid. Such pulsing, for example, would prevent contaminants from being trapped in standing waves during a cleaning step. The frequency may be either constant or variable when the ultrasonic transducer is on, and the frequency may be either constant or variable among multiple pulse periods.

[0151] Variable frequency or intermittent ultrasonic treatment may be used with dense fluids that contain no processing agents or with dense processing fluids that by definition contain one or more processing agents. Any combination or schedule of frequency changes and/or intermittent treatment periods during the cleaning or processing step may be used with dense fluids or with dense processing fluids. The application of variable frequency and/or intermittent ultrasonic energy is particularly useful in combination with selected processing agents in dense processing fluids for the removal of various types of contaminant particles from the articles being cleaned.

[0152] The use of ultrasonic energy complements dense fluid cleaning or processing since dissolution is more effective for smaller, soluble particles while ultrasonic cleaning or processing is more effective for larger or insoluble particles. Ultrasonic cleaning or processing works well in deeply patterned surfaces, i.e., it is not topography sensitive, and the method is adaptable to automation. Ultrasonic dense fluid cleaning can provide comparable performance to wet megasonic cleaning but without the limitations of wet chemical processing. For example, either method can provide 90% removal of particles having diameters of 0.5 micrometer and larger, resulting in a surface density of less than 0.1 particle/cm2.

[0153] The dense fluid or dense processing fluid used with variable frequency and/or intermittent ultrasonic treatment may be provided by the methods described earlier with reference to FIG. 3. Alternatively, the dense fluid or dense processing fluid for use with variable frequency and/or intermittent ultrasonic treatment may be prepared directly in the processing vessel by introducing a subcritical fluid into the sealable processing chamber and isolating the chamber, heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid, and providing the dense processing fluid by one or more steps selected from the group consisting of

[0154] (1) introducing one or more processing agents into the sealable processing chamber before introducing the subcritical fluid into the sealable processing chamber,

[0155] (2) introducing one or more processing agents into the sealable processing chamber after introducing the subcritical fluid into the sealable processing chamber but before heating the subcritical fluid therein, and

[0156] (3) introducing one or more processing agents into the sealable processing chamber after introducing the subcritical fluid into the sealable processing chamber and after heating the subcritical fluid therein.

[0157] Dense fluids and dense processing fluids are well-suited for ultrasonic processing. The relatively low viscosity of these fluids tends to minimize the rate of viscous dissipation of ultrasonic waves in the fluid. Therefore, the ultrasonic waves can be delivered to the surface being processed with relatively little reduction in intensity. This permits high process efficiency at minimal power consumption. Low viscous dissipation also tends to increase acoustic streaming in the dense fluid or dense processing fluid in cleaning processes, thereby promoting the removal of particulate and dissolved contaminants from the vicinity of the surface through a flushing action. This tends to bring fresh solvent into close proximity to the surface, thereby creating a higher concentration gradient for dissolved contaminants near the surface and increasing the rate of diffusion of dissolved contaminants away from the surface. The result is a reduction in the processing time required to produce a clean surface.

[0158] The relatively low viscosity of dense fluids also helps to reduce the thickness of the fluid boundary layer near the surface. The tendency toward thinner acoustic boundary layers can be seen from the following equation for acoustic boundary layer thickness, &dgr;ac: 1 δ a ⁢   ⁢ c = v π ⁢   ⁢ f

[0159] where &ngr; is the kinematic viscosity of the fluid and f is the frequency of the waves. A thinner fluid boundary layer tends to promote removal of adhered surface particles, since they are exposed to a greater average fluid velocity in a thin boundary layer than if they were shielded in a thicker, low velocity boundary layer.

[0160] In applying the present invention, semiconductor substrates may be cleaned or processed individually in order to provide direct process integration with other, single substrate processing modules. Alternatively, multiple substrates, or batches, may be cleaned or processed simultaneously in a container or “boat” placed within the cleaning or processing chamber, thereby providing high throughput and reduced cost of operation.

[0161] Ultrasonic waves improve semiconductor substrate cleaning through dense fluid immersion by providing a method for removing insoluble contaminants from surfaces using fluid oscillations and acoustic streaming and increasing the rate of penetration of solvents and co-solvents into thick film contaminant layers. As a result, the required processing time can be reduced. The thickness of the concentration boundary layer of dissolved reactants or contaminants may be decreased near the surface by acoustic streaming. This increases the diffusion rate of dissolved reactants to the surface or contaminants away from the surface, thereby reducing the required processing time. This also reduces the required density of the dense processing fluid necessary to achieve effective dissolution of soluble reactants or contaminants. This in turn reduces the required pressure of the dense processing fluid and reduces the overall cost of processing equipment necessary to achieve effective processing conditions. Also, this reduces the amount of dense fluid necessary to achieve effective processing performance and reduces the required concentrations and amounts of entrainers or reactants necessary to achieve effective processing performance in a dense processing fluid. As a result, the overall cost of ownership of the process, chemical disposal requirements, energy requirements, and environmental damage caused by the process can be reduced.

[0162] The following Examples illustrate embodiments of the present invention but do not limit the embodiments to any of the specific details described therein.

EXAMPLE 1

[0163] An embodiment of the invention according to FIG. 3 is used to treat a silicon wafer having a photoresist layer that has undergone multiple processing steps including exposure, development, etching and/or implantation with a dense processing fluid as described below.

[0164] Step 1: Pressurization vessel 303 having a volume of 2.71 liters is filled completely with 4.56 lb of saturated liquid CO2 at 70° F. and 853.5 psia. The density of the initial CO2 charge is 47.6 lb/ft3. The vessel is sealed.

[0165] Step 2: The pressurization vessel is heated until the internal pressure reaches 5,000 psia. The density of the contained CO2 remains at 47.6 lb/ft3, and the temperature reaches 189° F. The contained CO2 is converted to a dense fluid in the supercritical region (see FIG. 1).

[0166] Step 3: A contaminated silicon wafer is loaded into process tool 362 having an interior volume of 1 liter. The process tool is evacuated and the vessel walls and wafer are held at 104° F.

[0167] Step 4: Valve 333 connecting pressurization vessel 303 via manifold 331 and line 361 to the process tool 362 is opened, CO2 flows from pressurization vessel 303 into process tool 362, and the wafer is immersed in dense phase CO2. The temperature of pressurization vessel 303 remains at 189° F. The common pressure of the pressurization vessel and process module is 2,500 psia. The temperature of the process tool, 362, remains at 104° F. The dense phase CO2 remains in the supercritical state in both vessels as 1.79 lb of CO2 flows into 1 liter process tool 362 while the remaining 2.77 lb of CO2 remains in 2.71 liter pressurization vessel 303. The density of the CO2 in the cooler process tool reaches 50.6 lb/ft3.

[0168] Step 5: An entrainer, propylene carbonate, is pumped from entrainer storage vessel 353 by pump 357 into process tool 362 and the process tool is isolated. The concentration of propylene carbonate in the dense fluid in the process tool is 1 wt %. The dense fluid is agitated in process tool 362 for two minutes, during which time the wafer is processed to remove contaminants. Ultrasonic transducer 370 is operated during this period at an ultrasonic frequency of 40 KHz while high frequency power supply 371 provides power at an ultrasonic power density of 40 W/in2.

[0169] Step 6: Valves 333, 351, 375, 377, and 397 are opened so that fluid in process tool 362 and pressurization vessel 303 flows through cooler 379 and phase separator 383 to carbon dioxide liquefier 341 while the pressure in the system is held at 900 psia. Entrainers, reaction products, and contaminants are separated from the CO2 in the separator 383. The temperature of pressurization vessel 303 remains at 189° F. during this step and the temperature of the process tool remains at 104° F. during this step. CO2 is in the vapor phase in both vessels. Neglecting the relatively small effect of other mixture constituents, the density of CO2 in process tool 362 is 10.32 lb/ft3. 0.36 lb of CO2 remains in the process tool 362.

[0170] Step 7: Pressurization vessel 303 is isolated by closing valve 333 and the vessel is cooled to 70° F., wherein the pressure falls to 632 psia, and the density of the contained CO2 vapor in the vessel remains at 7.07 lb/ft3.

[0171] Step 8: The remaining 0.36 lb of CO2 in the process tool 362 is vented by closing valve 375 and opening valve 391, the tool is evacuated, and the clean, processed silicon wafer is removed.

[0172] The cycle is repeated by returning pressurization vessel 303 to Step 1 by refilling with liquid CO2.

EXAMPLE 2

[0173] The process of Example 1 is repeated except that ultrasonic transducer system 370 is operated during the cleaning period of step (5) at a sonic frequency which begins at 20 KHz and is increased at a constant rate during the cleaning period such that the sonic frequency is 200 KHz at the end of the cleaning period.

EXAMPLE 3

[0174] The process of Example 1 is repeated except that ultrasonic transducer 370 is operated during the cleaning period of step (5) at a sonic frequency which starts at 200 KHz and is decreased at a constant rate during the cleaning period such that the sonic frequency is 20 KHz at the end of the cleaning period.

EXAMPLE 4

[0175] The process of Example 1 is repeated except that ultrasonic transducer 370 is operated intermittently by turning the transducer system on for 1 second and off for 1 second in an alternating pattern during the cleaning period of step (5). The sonic frequency is 40 KHz during the time the transducer system is on.

Claims

1. A method for processing an article comprising:

(a) introducing the article into a sealable processing chamber and sealing the processing chamber;
(b) preparing a dense fluid by:
(b1) introducing a subcritical fluid into a pressurization vessel and isolating the vessel; and
(b2) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid;
(c) transferring at least a portion of the dense fluid from the pressurization vessel to the processing chamber, wherein the transfer of the dense fluid is driven by the difference between the pressure in the pressurization vessel and the pressure in the processing chamber, thereby pressurizing the processing chamber with transferred dense fluid;
(d) introducing one or more processing agents into the processing chamber either before (c), or during (c), or after (c) to provide a dense processing fluid;
(e) introducing ultrasonic energy into the processing chamber and contacting the article with the dense processing fluid to yield a spent dense processing fluid and a treated article; and
(f) separating the spent dense processing fluid from the treated article.

2. The method of claim 1 wherein the dense fluid is generated in (b2) at a reduced temperature in the pressurization vessel below about 1.8, wherein the reduced temperature is defined as the average absolute temperature of the dense fluid in the pressurization vessel after heating divided by the absolute critical temperature of the fluid.

3. The method of claim 2 wherein the contacting of the article with the dense processing fluid in the processing chamber in (d) is effected at a reduced temperature in the processing chamber between about 0.8 and about 1.8, wherein the reduced temperature is defined as the average absolute temperature of the dense processing fluid in the processing chamber during (d) divided by the absolute critical temperature of the dense processing fluid.

4. The method of claim 1 wherein the dense fluid comprises one or more components selected from the group consisting of carbon dioxide, nitrogen, methane, oxygen, ozone, argon, hydrogen, helium, ammonia, nitrous oxide, hydrogen fluoride, hydrogen chloride, sulfur trioxide, sulfur hexafluoride, nitrogen trifluoride, monofluoromethane, difluoromethane, trifluoromethane, trifluoroethane, tetrafluoroethane, pentafluoroethane, perfluoropropane, pentafluoropropane, hexafluoroethane, hexafluoropropylene, hexafluorobutadiene, and octafluorocyclobutane and tetrafluorochloroethane.

5. The method of claim 1 wherein the dense fluid comprises one or more hydrocarbons having 2 to 6 carbon atoms.

6. The method of claim 1 wherein the total concentration of the one or more processing agents in the dense processing fluid is between about 0.1 and 20 wt %.

7. The method of claim 1 wherein the dense processing fluid comprises one or more processing agents selected from the group consisting of ethyl acetate, ethyl lactate, propyl acetate, butyl acetate, diethyl ether, dipropyl ether, methanol, ethanol, isopropanol, acetonitrile, propionitrile, benzonitrile, ethylene cyanohydrin, ethylene glycol, propylene glycol, ethylene glycol monoacetate, propylene glycol monoacetate, acetone, butanone, acetophenone, trifluoroacetophenone, triethyl amine, tripropyl amine, tributyl amine, 2,4, dimethyl pyridine, dimethylethanolamine, diethylethanolamine, diethylmethanolamine, dimethylmethanolamine, dimethylformamide, dimethylacetamide, ethylene carbonate, propylene carbonate, acetic acid, lactic acid, butane-diol, propane-diol, n-hexane, n-butane, hydrogen peroxide, t-butyl hydroperoxide, ethylenediaminetetraacetic acid, catechol, choline, and trifluoroacetic anhydride.

8. The method of claim 1 wherein the dense processing fluid comprises one or more processing agents selected from the group consisting of hydrogen fluoride, hydrogen chloride, chlorine trifluoride, nitrogen trifluoride, monofluoromethane, difluoromethane, trifluoromethane, trifluoroethane, tetrafluoroethane, pentafluoroethane, perfluoropropane, pentafluoropropane, hexafluoroethane, hexafluoropropylene, hexafluorobutadiene, octafluorocyclobutane tetrafluorochloroethane, fluoroxytrifluoromethane (CF4O), bis(difluoroxy)methane (CF4O2), cyanuric fluoride (C3F3N3), oxalyl fluoride (C2F2O2), nitrosyl fluoride (FNO), carbonyl fluoride (CF2O), and perfluoromethylamine (CF5N).

9. The method of claim 1 wherein the dense processing fluid comprises one or more processing agents selected from the group consisting of organometallic precursors, photoresists, photoresist developers, interlayer dielectric materials, silane reagents, and stain-resistant coatings.

10. The method of claim 1 which further comprises reducing the pressure of the spent dense processing fluid to yield at least a fluid phase and a residual compound phase, and separating the phases to yield a purified fluid and recovered residual compounds.

11. The method of claim 10 which further comprises recycling the purified fluid to provide a portion of the subcritical fluid in (b1).

12. The method of claim 10 which further comprises reducing the pressure of the purified fluid to yield a further-purified fluid phase and an additional residual compound phase, and separating the phases to yield a further-purified fluid and additional recovered residual compounds.

13. The method of claim 12 which further comprises recycling the further-purified fluid to provide a portion of the subcritical fluid in (b1).

14. The method of claim 1 wherein the subcritical fluid in the pressurization vessel prior to heating in (b2) comprises a vapor phase, a liquid phase, or coexisting vapor and liquid phases.

15. A method for processing an article comprising:

(a) introducing the article into a sealable processing chamber and sealing the processing chamber;
(b) preparing a dense processing fluid by:
(b1) introducing a subcritical fluid into a pressurization vessel and isolating the vessel;
(b2) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid; and
(b3) introducing one or more processing agents into the pressurization vessel
before introducing the subcritical fluid into the pressurization vessel, or
after introducing the subcritical fluid into the pressurization vessel but before heating the pressurization vessel, or
after introducing the subcritical fluid into the pressurization vessel and after heating the pressurization vessel;
(c) transferring at least a portion of the dense processing fluid from the pressurization vessel to the processing chamber, wherein the transfer of the dense processing fluid is driven by the difference between the pressure in the pressurization vessel and the pressure in the processing chamber, thereby pressurizing the processing chamber with transferred dense processing fluid;
(d) introducing ultrasonic energy into the processing chamber and contacting the article with the transferred dense processing fluid to yield a spent dense processing fluid and a treated article; and
(e) separating the spent dense processing fluid from the treated article.

16. An apparatus for processing an article which comprises:

(a) a fluid storage tank containing a subcritical fluid;
(b) one or more pressurization vessels and piping means for transferring the subcritical fluid from the fluid storage tank to one or more pressurization vessels;
(c) heating means to heat the contents of each of the one or more pressurization vessels at essentially constant volume and essentially constant density to convert the subcritical fluid into a dense fluid;
(d) a sealable processing chamber for contacting an article with the dense fluid;
(e) ultrasonic generation means for introducing ultrasonic energy into the sealable processing chamber;
(f) piping means for transferring the dense fluid from the one or more pressurization vessels into the sealable processing chamber; and
(g) one or more processing agent storage vessels and pumping means to inject one or more processing agents (1) into the one or more pressurization vessels or (2) into the piping means for transferring the dense fluid from the one or more pressurization vessels to the sealable processing chamber or (3) into the sealable processing chamber.

17. The apparatus of claim 16 which further comprises pressure reduction means and phase separation means to separate a spent dense processing fluid withdrawn from the processing chamber to yield at least a purified fluid and one or more recovered residual compounds.

18. The apparatus of claim 17 which further comprises recycle means to recycle the purified fluid to the fluid storage tank.

19. A method for processing an article comprising:

(a) introducing the article into a sealable processing chamber and sealing the processing chamber;
(b) providing a dense processing fluid in the processing chamber;
(c) introducing ultrasonic energy into the processing chamber and varying the frequency of the ultrasonic energy while contacting the article with the dense processing fluid to yield a spent dense processing fluid and a treated article; and
(e) separating the spent dense processing fluid from the treated article.

20. The method of claim 19 wherein the frequency of the ultrasonic energy is increased during (c).

21. The method of claim 19 wherein the frequency of the ultrasonic energy is decreased during (c).

22. The method of claim 19 wherein the dense processing fluid is prepared by:

(a) introducing a subcritical fluid into a pressurization vessel and isolating the vessel;
(b) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid; and
(c) providing the dense processing fluid by one or more steps selected from the group consisting of
(1) introducing one or more processing agents into the dense fluid while transferring the dense fluid from the pressurization vessel to the processing chamber,
(2) introducing one or more processing agents into the pressurization vessel to form a dense processing fluid and transferring the dense processing fluid from the pressurization vessel to the processing chamber,
(3) introducing one or more processing agents into the derise fluid in the processing chamber after transferring the dense fluid from the pressurization vessel to the processing chamber,
(4) introducing one or more processing agents into the pressurization vessel before introducing the subcritical fluid into the pressurization vessel,
(5) introducing one or more processing agents into the pressurization vessel after introducing the subcritical fluid into the pressurization vessel but before heating the pressurization vessel, and
(6) introducing one or more processing agents into the pressurization vessel after introducing the subcritical fluid into the pressurization vessel and after heating the pressurization vessel.

23. The method of claim 19 wherein the dense processing fluid is prepared by:

(a) introducing a subcritical fluid into the sealable processing chamber and isolating the chamber;
(b) heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid; and
(c) providing the dense processing fluid by one or more steps selected from the group consisting of
(1) introducing one or more processing agents into the sealable processing chamber before introducing the subcritical fluid into the sealable processing chamber,
(2) introducing one or more processing agents into the sealable processing chamber after introducing the subcritical fluid into the sealable processing chamber but before heating the subcritical fluid therein, and
(3) introducing one or more processing agents into the sealable processing chamber after introducing the subcritical fluid into the sealable processing chamber and after heating the subcritical fluid therein.

24. A method for processing an article comprising:

(a) introducing the article into a sealable processing chamber and sealing the processing chamber;
(b) providing a dense fluid in the processing chamber;
(c) introducing ultrasonic energy into the processing chamber and varying the frequency of the ultrasonic energy while contacting the article with the dense fluid to yield a spent dense fluid and a treated article; and
(e) separating the spent dense fluid from the treated article.

25. A method for processing an article comprising:

(a) introducing the article into a sealable processing chamber and sealing the processing chamber;
(b) providing a dense processing fluid in the processing chamber;
(c) introducing ultrasonic energy into the processing chamber intermittently while contacting the article with the dense processing fluid to yield a spent dense processing fluid and a treated article; and
(e) separating the spent dense processing fluid from the treated article.

26. A method for processing an article comprising:

(a) introducing the article into a sealable processing chamber and sealing the processing chamber;
(b) providing a dense fluid in the processing chamber;
(c) introducing ultrasonic energy into the processing chamber intermittently while contacting the article with the dense fluid to yield a spent dense fluid and a treated article; and
(e) separating the spent dense fluid from the treated article.
Patent History
Publication number: 20040055621
Type: Application
Filed: Sep 24, 2002
Publication Date: Mar 25, 2004
Applicant: Air Products and Chemicals, Inc.
Inventors: Wayne Thomas McDermott (Fogelsville, PA), Hoshang Subawalla (Macungie, PA), Andrew David Johnson (Doylestown, PA), Alexander Schwarz (Bethlehem, PA)
Application Number: 10253054