Variable Threshold System and Method For Multi-Corner Static Timing Analysis

- IBM

A method and system for decreasing processing time in multi-corner static timing analysis. In one embodiment, slack cutoff values are assigned across a parameter process space. For example, a slack cutoff value is assigned to each parameter in a process space by determining an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space. In another embodiment, parameters are ordered in a parameter order by decreasing magnitude of impact on variability of timing.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
RELATED APPLICATION DATA

This application is related to U.S. patent application Ser. No. ______, filed Feb. 27, 2007, entitled “Parameter Ordering For Multi-Corner Static Timing Analysis,” which is incorporated herein by reference in its entirety.

FIELD OF THE DISCLOSURE

The present disclosure generally relates to the field of static timing analysis. In particular, the present disclosure is directed to a variable threshold system and method for multi-corner static timing analysis.

BACKGROUND

Static timing analysis (STA) is utilized to verify integrated circuit design and analyze circuit performance. In circuit design, one signal may need to arrive at a particular point in a circuit path at a particular time with respect to another signal. A timing test with respect to a pair of timing test points is typically to compare two signals to determine whether a particular requirement on their relative arrival time is met. The difference of the relative arrival time of two signals at the timing test point is referred to as “slack”. Two paths on which signals propagate to arrive at the pair of timing test points (e.g., clock and data pins of a flip-flop circuit) are often referred to as racing paths. Timing of integrated circuits may vary due to the effects of environmental and process variation parameters. In multi-corner static timing analysis, each source of variation to be analyzed is modeled as a parameter having an impact on a delay of a circuit path and/or a circuit. Example sources of variation include, but are not limited to, voltage, metal width, temperature, transistor channel length, transistor threshold voltage, gate oxide thickness, other process controlled performance changing parameters. In one example, each of the parameters is used to model process, environmental conditions, and aging affects in static timing analysis can be toggled between its extreme distribution endpoints. Any combination of fixed parameter values is referred to as a corner. In one example, a parameter may be set to one of its extreme values (e.g., a 3SIGMA extreme value). In such an example, one parameter setting provides a fastest signal propagation checked in a timing analysis and the other corner provides a slowest signal propagation in a timing analysis as a function of this parameter. A static timing analysis may start with each parameter in a set having its values set to a particular extreme, called a starting corner.

Parameters for analysis in timing tests typically can be independent or dependent. In one example, an independent parameter allows for a given path to be evaluated at the values of that parameter irrespective of the value settings of other process variable/parameters. However, dependent parameters typically must be evaluated with respect to settings of other parameters. In such an analysis, testing of combinations of multiple parameter settings may occur in a multi-corner timing analysis. In such an analysis with n parameters, there may be 2n extreme corner combinations that require evaluation in order to determine the worst slack across all process corners. As the number of parameters to test increases and the complexity of integrated circuit designs continues to grow, the analysis of the large number of extreme corner combinations for each path of an integrated circuit becomes difficult, if not impossible, to perform in a reasonable amount of time. Reduction of the number of paths requiring full multi-corner analysis at all 2n process corners can reduce the time required to perform a full chip analysis within a reasonable runtime.

One manner to reduce the number of paths to analyze in a multi-corner analysis involves comparing a slack value obtained from a starting corner analysis to an initial threshold, often referred to as a slack cutoff. In one example, a slack cutoff threshold may be determined empirically for a given integrated circuit technology and/or set of environmental conditions (e.g., process variations) that apply to the integrated circuit by identifying an upper bound on the slack change of any path going from a starting corner to any other corner in the process space. If a starting corner slack determined for a path passes a chosen slack cutoff value, it is estimated that the path would pass all corner analyses. Thus, any path that has a starting corner slack that is above a starting corner slack cutoff can be removed from analysis as it will likely pass multi-corner analysis. This can reduce the number of paths for multi-corner analysis. However, in order to reduce the possibility of having paths that potentially have a timing failure from erroneously being removed from analysis, the slack cutoff value is often set at a fairly high value. This, in turn, may lead to an undesirably low reduction in the number of paths that require full multi-corner analysis.

SUMMARY OF THE DISCLOSURE

In one embodiment, a computerized method of decreasing processing time in multi-corner static timing analysis is provided. The method includes determining an n number of parameters (Pi) defining a full process space {P1, P2, . . . Pn}, wherein the n number of parameters are organized in a parameter order from P1 to Pn, the full process space {P1, P2, . . . Pn} including an n number of process sub-spaces each including parameters Pi→n; assigning a corresponding slack cutoff (ci) {c1, c2, . . . cn} for each parameter of the n number of parameters, wherein each corresponding slack cutoff ci represents an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space {Pi→n}; and performing a multi-corner timing analysis utilizing a plurality of the corresponding slack cutoffs (ci) to output an indication of timing verification for one or more paths of an integrated circuit design.

In another embodiment, a computerized method of decreasing processing time in multi-corner static timing analysis is provided. The method includes determining an n number of parameters (Pi) defining a full process space {P1, P2, . . . Pn}, wherein the n number of parameters are organized in a parameter order from P1 to Pn based on decreasing impact on variability of timing, the full process space {P1, P2, . . . Pn} including an n number of process sub-spaces each including parameters Pi→n; assigning a corresponding slack cutoff (ci) {c1, c2, . . . cn} for each parameter of the n number of parameters, wherein each corresponding slack cutoff ci represents an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space {Pi→n}; conducting a starting corner timing analysis to determine a starting corner slack for each of one or more predetermined paths of an integrated circuit design; comparing each starting corner slack to slack cutoff c1 to determining any paths having a starting corner slack that fails the slack cutoff c1; analyzing one or more remaining paths of the paths that fail the previous slack cutoff comparison by varying the next parameter Pi in the parameter order, starting with P1, to each of its non-starting corner parameter values while holding any other unvaried parameter at its starting corner parameter value and holding any previously varied parameter at a corner parameter value that produced its corresponding worst slack value, said analyzing including: determining a worst slack value for each of the one or more remaining paths; comparing each worst slack value corresponding to varying Pi with slack cutoff ci+1; and determining any paths that have a worst slack value corresponding to varying Pi that fails the slack cutoff ci+1; repeating said analyzing step for each parameter Pi until no paths fail a slack cutoff comparison and/or said varying of Pn-1 is performed; and outputting an indication of timing verification for one or more of the plurality of paths based on said analyzing.

In yet another embodiment, a computer readable medium containing computer executable instructions implementing a method of decreasing processing time in multi-corner static timing analysis is provided. The instructions include a set of instructions for determining an n number of parameters (Pi) defining a full process space {P1, P2, . . . Pn}, wherein the n number of parameters are organized in a parameter order from P1 to Pn, the full process space {P1, P2, . . . Pn} including an n number of process sub-spaces each including parameters Pi→n; a set of instructions for assigning a corresponding slack cutoff (ci) {c1, c2, . . . cn} for each parameter of the n number of parameters, wherein each corresponding slack cutoff ci represents an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space {Pi→n}; and a set of instructions for performing a multi-corner timing analysis utilizing a plurality of the corresponding slack cutoffs (ci) to output an indication of timing verification for one or more paths of an integrated circuit design.

BRIEF DESCRIPTION OF THE DRAWINGS

For the purpose of illustrating the invention, the drawings show aspects of one or more embodiments of the invention. However, it should be understood that the present invention is not limited to the precise arrangements and instrumentalities shown in the drawings, wherein:

FIG. 1 illustrates one embodiment of a method for reducing processing time in multi-corner static timing analysis;

FIG. 2 illustrates a graphical depiction of one example of variable slack cutoffs;

FIG. 3 illustrates another embodiment of a method for reducing processing time in multi-corner static timing analysis; and

FIG. 4 illustrates an exemplary computing environment in which a system and/or method for reducing processing time in multi-corner static timing analysis may be employed.

DETAILED DESCRIPTION

Embodiments of the present disclosure include a system and method for reducing processing time in multi-corner static timing analysis. In one embodiment, a variable slack cutoff scheme is utilized for a process space of a number of parameters to be used in a multi-corner analysis. In another embodiment, the parameters of a process space are organized in decreasing order of delay sensitivity for each parameter. In one example of such an embodiment, slack cutoff values attributed to each parameter in a variable slack cutoff scheme are organized in the same order as the parameters. In yet another embodiment, a multi-corner timing analysis may be performed using a variable slack cutoff scheme to reduce the time required to process a number of paths through multi-corner timing analysis. These and other embodiments and aspects are discussed further below with respect to the examples illustrated in FIGS. 1 to 4.

FIG. 1 illustrates one embodiment of a method 100. At step 105, parameters for use in a multi-corner timing analysis are determined. The set of parameters determined make up a process space for the analysis. In one example, n number of parameters may be determined. In such an example, for ease of description each parameter may be designated as Pi, where i can be a value from 1 to n. The full parameter process space for this example would include P1, P2, . . . Pn, with the parameters Pi thought of as being in a parameter order from P1 to Pn. A full process space may be viewed as including any number of subdivisions (i.e., a process sub-space) of the entire process space. In one example, a process sub-space may be defined for each of the parameters Pi (e.g., one or more parameter process sub-spaces defined by Pi→n). The concept of a full process space including one or more process sub-spaces does not require that a full process space actually be divided (e.g. physically or logically in a software implementation) into separate groups. The concept of process sub-spaces is utilized further below in determining one or more slack cutoffs for a variable slack cutoff scheme.

To illustrate parameters and process spaces further, an exemplary set of parameters for use in a timing analysis may include six (6) parameters Pi such that the full process space would include {P1, P2, P3, P4, P5, P6}. This full process space may be viewed to include any number of process sub-spaces (e.g., the same number of process sub-spaces as the number of parameters, here six). In this example, the full process space may include six process sub-spaces defined by Pi→n, (e.g., a process sub-space for P1 including {P1, P2, P3, P4, P5, P6}; a process sub-space for P2 including {P2, P3, P4, P5, P6}; a process sub-space for P3 including {P3, P4, P5, P6}; a process sub-space for P4 including {P4, P5, P6}; a process sub-space for P5 including {P5, P6}; and a process sub-space for P6 including {P6}). Although, an example of n=6 is utilized throughout this description for illustrative purposes, it should be noted that any number n parameters may be utilized.

At step 110, a corresponding slack cutoff (ci) is determined for each parameter (Pi). For n parameters an n number of slack cutoff values would be determined (e.g., a set of slack cutoffs {c1, c2, . . . cn} for use in a variable slack cutoff scheme). For example, with n=6 parameters six slack cutoff values may be determined {c1, c2, c3, c4, c5, c6}. Each slack cutoff (ci) is determined by estimating an upper bound on a slack change of any path in an integrated circuit design that moves from a starting corner to any other corner in a given process sub-space. Each slack cutoff (ci) may be determined empirically utilizing knowledge of a particular integrated circuit technology (e.g., known characteristics of the particular integrated circuit technology, known process variations, known environmental variations, and any combinations thereof). Those of ordinary skill will recognize a variety of processes for estimating an appropriate value for a slack cutoff for a particular implementation. A scheme of variable slack cutoff values (e.g., a scheme including a different slack cutoff value ci for each parameter Pi) may be determined for use in multiple multi-corner analyses by determining the scheme for a particular integrated circuit technology.

In one example, a process sub-space for determining a slack cutoff (ci) may be defined as Pi→n, such that the process sub-space includes all parameters from the full process space that are from the ith parameter to the nth parameter. For the example discussed above where n=6, a slack cutoff (ci) may be determined corresponding to each of the parameters {P1, P2, P3, P4, P5, P6}. In this example, for P1 a corresponding slack cutoff (c1) may be determined by estimating an upper bound on a slack change of any path that moves from a starting corner to any other corner in the process sub-space including parameters P1 to P6 {P1, P2, P3, P4, P5, P6}. For P2 a corresponding slack cutoff (c2) may be determined by estimating an upper bound on a slack change of any path that moves from a starting corner to any other corner in the process sub-space including parameters P2 to P6 {P2, P3, P4, P5, P6}. For P3 a corresponding slack cutoff (c3) may be determined by estimating an upper bound on a slack change of any path that moves from a starting corner to any other corner in the process sub-space including parameters P3 to P6 {P3, P4, P5, P6}. For P4 a corresponding slack cutoff (c4) may be determined by estimating an upper bound on a slack change of any path that moves from a starting corner to any other corner in the process sub-space including parameters P4 to P6 {P4, P5, P6}. For P5 a corresponding slack cutoff (c5) may be determined by estimating an upper bound on a slack change of any path that moves from a starting corner to any other corner in the process sub-space including parameters P1 to P6 {P5, P6}. For P6 a corresponding slack cutoff (c6) may be determined by estimating an upper bound on a slack change of any path that moves from a starting corner to any other corner in the process sub-space including parameters P6 to P6 {P6}.

FIG. 2 illustrates a graphical depiction plotting one example of a variable slack cutoff scheme 200 for an exemplary full process space having n=6. In this example, the parameters {P1, P2, P3, P4, P5, P6} are represented on the x-axis of the plot. For each parameter Pi, a corresponding slack cutoff ci is shown with increasing slack value on the y-axis. Each slack cutoff (ci) may be determined as discussed above with respect to FIG. 1. In this example, parameters P1 to P6 are shown organized in a parameter order such that the corresponding slack cutoff values c1 to c6 are in descending order. In other examples, alternate parameter ordering may be utilized. One exemplary aspect of a variable slack cutoff scheme (e.g., scheme 200) may be increased efficiency and reduced analysis time when parameters are organized in a parameter order such that corresponding slack cutoff values are in a descending order. In one example, parameters may be organized in a parameter order P1 to Pn such that corresponding impact on variability of timing for each parameter Pi are in a descending order (e.g., parameter P1 having the highest impact on variability of timing and Pn having the lowest impact on variability of timing). Examples of impact on variability of timing include, but are not limited to, a path slack variability, a path latency variability, a path delay variability, a path slew variability, and any combinations thereof. Those of ordinary skill may recognize a variety of ways to determine a delay sensitivity, a path delay variability, a path slack variability, a path slew variability, and/or a path latency variability for a particular parameter.

Referring again to FIG. 1, at step 115, a multi-corner timing analysis is performed on one or more paths of an integrated circuit design utilizing the variable slack cutoff scheme determined in step 110. Each slack cutoff (ci) may be used when analyzing a path with respect to a one or more parameters (Pi). For example, as a worst slack value is determined for a path as analysis moves off of a starting corner to one or more corner parameter values of a particular parameter (Pi), the corresponding slack cutoff (ci+1) is used in testing the worst slack value to determine potential timing failure for the path. It should be noted that in such an example after parameter Pn-1 is varied and the resultant worst slack compared with cn, a further step of varying Pn may occur to determine an additional worst slack that may be compared with a user-defined slack threshold (e.g., a signoff slack, as is known to those of ordinary skill) to determine a timing verification for a path remaining in the analysis. One embodiment of a use of a variable slack cutoff scheme, such as the scheme determined in step 110 is further discussed below with respect to the example set forth in FIG. 3.

At step 120, timing verification information determined by multi-corner analysis of one or more paths at step 115 is output. Output of timing verification information may be in one or more of a variety of forms. Examples of an output include, but are not limited to, representation of an indicator of timing verification information as a displayable image (e.g., via a display device), representation of an indicator of timing verification information as a physical printout (e.g., via a printer of a computing device), transfer of a data element including an indicator of timing verification information (e.g., via an electrical connection, wired or wireless) to a device (e.g., a storage device, a remote computing device), evaluation of pulse width versus the rise/fall times of a signal, and any combinations thereof. In one example, multi-corner analysis of step 115 may be implemented using a computing device. Discussion of one example of a computing environment for implementation of one or more aspects of method 100 is provided below with respect to FIG. 4. A multi-corner timing analysis, such as that performed in step 115, may utilize one or more timing analysis tools. Those of ordinary skill will recognize from the description herein how to configure a timing analysis tool to utilize a variable slack cutoff scheme and perform a multi-corner timing analysis as described herein. Examples of a timing analysis tool include, but are not limited to, EINSTIMER available from International Business Machines, and PRIMETIME available from Synopsys. In another example, output from a computing device performing multi-corner timing analysis may be via generation of data representing a displayable image that includes an indication of timing verification of one or more paths analyzed. The displayable image may be displayed via a display device. Examples of a display device include, but are not limited to, a liquid crystal display (LCD), a cathode ray tube (CRT), a plasma display, and any combinations thereof.

FIG. 3 illustrates one embodiment of a timing analysis 300. In one example, timing analysis may be performed as part of a multi-corner timing analysis (e.g., multi-corner timing analysis of step 115 of FIG. 1) and may utilize, as set forth below, a variable slack cutoff scheme (e.g., variable slack cutoff scheme as determined by step 110 of FIG. 1, variable slack cutoff scheme 200 of FIG. 2).

At step 305, a starting corner timing analysis is performed to determine a starting corner slack value for each of one or more paths in an integrated circuit design. A starting corner may be any corner and is usually chosen to result in somewhat pessimistic slack values. In one example, a starting corner may be a corner that will give a slowest path delay in a worst case (WC) timing test. In another example, a starting corner may be a corner that will give a fastest path delay in a best case (BC) timing test. A timing analysis may include one or more levels of testing of the starting corner on the paths of the integrated circuit. Starting corner timing analysis may be performed on any number of paths of an integrated circuit design. In one example, starting corner timing analysis is performed on a single path of an integrated circuit design. In another example, starting corner timing analysis is performed on a subset of all of the paths of an integrated circuit design. In yet another example, starting corner timing analysis is performed on all paths of an integrated circuit design.

At step 310, each starting corner slack value is compared to an initial slack cutoff to determine if the corresponding path has a starting corner slack value that fails the slack cutoff. In one example, the initial slack cutoff is any slack cutoff estimating an upper bound on the slack change of any path going from the starting corner to any other corner of a full process space of parameters to be analyzed. In another example, the initial slack cutoff is a slack cutoff (c1) of a variable slack cutoff scheme (e.g., variable slack cutoff scheme 200).

At step 315, any path that passes the initial slack cutoff is passed to step 320. At step 320, further multi-corner analysis for paths that pass the initial slack cutoff may be bypassed. In one example, paths that are bypassed for further analysis at step 320 are paths that are likely to pass timing verification for all corners of the full process space. Any path that fails the initial slack cutoff (e.g., slack cutoff c1) is passed to step 325 for further analysis. Passing or failing a cutoff may depend on the precision of the determination of the cutoff upper bound (e.g., if the upper bound itself includes slack values that should pass, analysis will be to those slack values that are greater than or equal to the slack cutoff; if the upper bound itself includes slack values that should not pass, analysis will be to those slack values that are greater than the slack cutoff). In one example, a path having a slack value that is greater than or equal to a slack cutoff may pass the cutoff (e.g., a path that is determined to be likely to pass all corners of a timing test may require no further analysis). In such an example, a path having a slack value that is less than a slack cutoff will fail the cutoff. In another example, a path having a slack value that is greater than a slack cutoff may pass the cutoff. In such an example, a path having a slack value that is less than or equal to a slack cutoff will fail the cutoff.

Steps 325 through 360, as described below, may iterate depending on the number of parameters (Pi) utilized in a particular analysis. At step 325, one or more remaining paths of the paths that failed the most recent slack cutoff comparison (e.g., for a first iteration of step 325 those paths that failed comparison of the starting corner slack with the initial slack cutoff) are further analyzed. This further analysis includes varying the next parameter Pi in the parameter order of P1 to Pn to obtain a slack value for each of its corner parameter values (e.g., one of the non-starting corner parameter 3-SIGMA extreme values). For a first iteration of step 325, the next parameter Pi will be P1. For subsequent iterations of step 325, the next parameter is the parameter Pi that occurs next in the parameter order. During this analysis, at step 330, parameters that have not yet been varied are held at their starting corner parameter values and parameters that have been previously varied are held at the corner parameter value that caused the worst slack value for a path, as described below with respect to step 335. In one example, a parameter Pi may have two corner parameter values (e.g., a minimum extreme and a maximum extreme) of which one is the starting corner parameter value. In such an example, parameter Pi is moved off of its starting corner parameter value to its other corner parameter value and a slack is determined. The one or more paths that are analyzed starting at each iteration of step 325 may be all of the paths that failed the previous slack cutoff comparison of a subset of those paths that failed the previous slack cutoff comparison. Those of ordinary skill will recognize a variety of processes for selecting a subset of paths for testing. In one example, a plurality of paths of an integrated circuit design may lead to the same timing test point of the design (e.g., a plurality of paths leading to a single logical latch). In such an example, one or more of this plurality of paths may be tested for timing failure as representative of all of the paths leading to that timing test point (e.g., a path that is known to be likely to have the highest potential delay variation of the plurality of paths leading to a timing test point). The worst slack of this one or more selected paths may be used as representative of all of the paths leading to the timing test point. In another example, any path that has a worst slack value that is below a user-defined threshold (e.g., below zero) analysis on that path may be bypassed as the path is determined via the user-defined threshold to likely have a timing violation.

At step 335, a worst slack value for Pi is determined for each of the one or more remaining paths. A worst slack value is determined by finding the worst slack of the slacks produced at each corner parameter value for Pi.

At step 340, for each of the remaining paths, the worst slack value for the varying of Pi is compared with the slack cutoff ci+1 of a variable slack cutoff scheme (e.g., a variable slack cutoff scheme as discussed above with respect to FIGS. 1 and 2). In one example, during a first iteration of step 340, P1 was varied at step 325. In such an example, the worst slack for each path for the varying of P1 to each of its corners is compared with slack cutoff c2. In another example, where P3 was varied at step 325, the worst slack for each path for the varying of P3 to each of its corner parameter values is compared with slack cutoff c4.

At step 345, each path that passes slack cutoff ci+1 may be bypassed for further analysis at step 320. Each path that fails slack cutoff ci+1 is further analyzed through steps 350 and 360 as described below. At step 350, it is determined if during the latest iteration of steps 325 to 345 the parameter Pn-1 was varied. If parameter Pn-1 was the most recent varied parameter, the iteration ends. Any remaining paths may be further analyzed with respect to varying of Pn to determine an additional worst slack value for each remaining path. Each additional worst slack value may be compared with a user-defined slack threshold (e.g., a signoff slack) to determine a timing verification for each additionally analyzed path. If parameter Pn-1 has not yet been varied, step 360 is implemented. At step 360, it is determined if all paths passed the last slack cutoff comparison. If all paths passed the last slack cutoff comparison, there are no remaining paths to be further analyzed and method 300 ends. If paths remain that failed the last slack cutoff comparison, step 325 is reiterated for the next parameter Pi in the parameter order.

Referring again to steps 325 to 360 with respect to an example where number of parameters n=6, the following discussion will illustrate one example of implementation of steps 325 to 360 on a particular path. In this example, during the first iteration of step 325 to 360, parameter P1 is varied from its starting corner parameter value to each of its other corner parameter values while holding P2 to P6 at their respective starting corner parameter values. A worst slack for the path is determined for P1 and compared to slack cutoff c2. If the worst slack passes slack cutoff c2, the analysis of the path ends. If the worst slack fails cutoff c2, the analysis continues. Since P1 is not Pn-1 (which in this example is P5) and for illustrative purposes we will describe a path that fails the latest slack cutoff comparison, iteration of steps 325 to 360 continue. During the next iteration, parameter P2 is varied from its starting corner parameter value, parameter P1 is held at its corner parameter value that generated the worst slack, and parameters P3 to P6 are held at their starting corner parameter values. A worst slack for the path is determined for P2 and compared to slack cutoff c3. If the worst slack passes slack cutoff c3, the analysis of the path ends. If the worst slack fails cutoff c3, the analysis continues. During the next iteration, parameter P3 is varied from its starting corner parameter value, parameters P1 to P2 are held at their corner that generated the worst slack, and parameters P4 to P6 are held at their starting corner values. A worst slack for the path is determined for P3 and compared to slack cutoff c4. If the worst slack passes slack cutoff c4, the analysis of the path ends. If the worst slack fails cutoff c4, the analysis continues. During the next iteration, parameter P4 is varied from its starting corner parameter value, parameters P1 to P3 are held at their corner parameter value that generated the worst slack, and parameters P5 to P6 are held at their starting corner parameter values. A worst slack for the path is determined for P4 and compared to slack cutoff c5. If the worst slack passes slack cutoff c5, the analysis of the path ends. If the worst slack fails cutoff c5, the analysis continues. During the next iteration, parameter P5 is varied from its starting corner parameter value, parameters P1 to P4 are held at their corner parameter value that generated the worst slack, and parameter P6 is held at its starting corner parameter value. A worst slack for the path is determined for P5 and compared to slack cutoff c6. If the worst slack passes slack cutoff c6, the analysis of the path ends at step 350 with the timing verification for the path passing. If the worst slack fails cutoff c6, the iteration ends. Such paths that fail cutoff c6 may be further analyzed by varying parameter P6 while holding parameters P1 to P5 at the corner parameter values that generated the worst slack. The worst slack in varying P6 may be compared to a user-defined threshold to determine a timing verification for each path.

It is to be noted that the aspects and embodiments described herein may be conveniently implemented using one or more machines (e.g., a general purpose computing device) programmed according to the teachings of the present specification, as will be apparent to those of ordinary skill in the computer art. For example, various aspects of a method of reducing processing time in multi-corner static timing analysis, such as methods 100, 300, may be implemented as machine-executable instructions (i.e., software coding), such as program modules executed by one or more machines. Typically a program module may include routines, programs, objects, components, data structures, etc. that perform specific tasks. Appropriate machine-executable instructions can readily be prepared by skilled programmers based on the teachings of the present disclosure, as will be apparent to those of ordinary skill in the software art.

Such software may be a computer program product that employs a machine-readable medium. A machine-readable medium may be any medium that is capable of storing and/or encoding a sequence of instructions for execution by a machine (e.g., a general purpose computing device) and that causes the machine to perform any one of the methodologies and/or embodiments described herein. Examples of a machine-readable medium include, but are not limited to, a magnetic disk (e.g., a conventional floppy disk, a hard drive disk), an optical disk (e.g., a compact disk “CD”, such as a readable, writeable, and/or re-writable CD; a digital video disk “DVD”, such as a readable, writeable, and/or rewritable DVD), a magneto-optical disk, a read-only memory “ROM” device, a random access memory “RAM” device, a magnetic card, an optical card, a solid-state memory device (e.g., a flash memory), an EPROM, an EEPROM, and any combinations thereof. A machine-readable medium, as used herein, is intended to include a single medium as well as a collection of physically separate media, such as, for example, a collection of compact disks or one or more hard disk drives in combination with a computer memory.

Examples of a general purpose computing device include, but are not limited to, a computer workstation, a terminal computer, a server computer, a handheld device (e.g., tablet computer, a personal digital assistant “PDA”, a mobile telephone, etc.), a web appliance, a network router, a network switch, a network bridge, any machine capable of executing a sequence of instructions that specify an action to be taken by that machine, and any combinations thereof. In one example, a general purpose computing device may include and/or be included in, a kiosk.

FIG. 4 shows a diagrammatic representation of one embodiment of a general purpose computing device in the exemplary form of a computer system 400 within which a set of instructions for causing the device to perform any one or more of the aspects and/or methodologies of the present disclosure may be executed. Computer system 400 includes a processor 405 and a memory 410 that communicate with each other, and with other components, via a bus 415. Bus 415 may include any of several types of bus structures including, but not limited to, a memory bus, a memory controller, a peripheral bus, a local bus, and any combinations thereof, using any of a variety of bus architectures.

Memory 410 may include various components (e.g., machine readable media) including, but not limited to, a random access memory component (e.g, a static RAM “SRAM”, a dynamic RAM “DRAM”, etc.), a read only component, and any combinations thereof. In one example, a basic input/output system 420 (BIOS), including basic routines that help to transfer information between elements within computer system 400, such as during start-up, may be stored in memory 410. Memory 410 may also include (e.g., stored on one or more machine-readable media) instructions (e.g., software) 425 embodying any one or more of the aspects and/or methodologies of the present disclosure. In another example, memory 410 may further include any number of program modules including, but not limited to, an operating system, one or more application programs, other program modules, program data, and any combinations thereof.

Computer system 400 may also include a storage device 430. Examples of a storage device (e.g, storage device 430) include, but are not limited to, a hard disk drive for reading from and/or writing to a hard disk, a magnetic disk drive for reading from and/or writing to a removable magnetic disk, an optical disk drive for reading from and/or writing to an optical media (e.g., a CD, a DVD, etc.), a solid-state memory device, and any combinations thereof. Storage device 430 may be connected to bus 415 by an appropriate interface (not shown). Example interfaces include, but are not limited to, SCSI, advanced technology attachment (ATA), serial ATA, universal serial bus (USB), IEEE 1394 (FIREWIRE), and any combinations thereof. In one example, storage device 430 may be removably interfaced with computer system 400 (e.g., via an external port connector (not shown)). Particularly, storage device 430 and an associated machine-readable medium 435 may provide nonvolatile and/or volatile storage of machine-readable instructions, data structures, program modules, and/or other data for computer system 400. In one example, software 425 may reside, completely or partially, within machine-readable medium 435. In another example, software 425 may reside, completely or partially, within processor 405.

Computer system 400 may also include an input device 440. In one example, a user of computer system 400 may enter commands and/or other information into computer system 400 via input device 440. Examples of an input device 440 include, but are not limited to, an alpha-numeric input device (e.g., a keyboard), a pointing device, a joystick, a gamepad, an audio input device (e.g., a microphone, a voice response system, etc.), a cursor control device (e.g., a mouse), a touchpad, an optical scanner, a video capture device (e.g., a still camera, a video camera), touchscreen, and any combinations thereof. Input device 440 may be interfaced to bus 415 via any of a variety of interfaces (not shown) including, but not limited to, a serial interface, a parallel interface, a game port, a USB interface, a FIREWIRE interface, a direct interface to bus 415, and any combinations thereof.

A user may also input commands and/or other information to computer system 400 via storage device 430 (e.g., a removable disk drive, a flash drive, etc.) and/or a network interface device 445. A network interface device, such as network interface device 445 may be utilized for connecting computer system 400 to one or more of a variety of networks, such as network 450, and one or more remote devices 455 connected thereto. Examples of a network interface device include, but are not limited to, a network interface card, a modem, and any combination thereof. Examples of a network or network segment include, but are not limited to, a wide area network (e.g., the Internet, an enterprise network), a local area network (e.g., a network associated with an office, a building, a campus or other relatively small geographic space), a telephone network, a direct connection between two computing devices, and any combinations thereof. A network, such as network 450, may employ a wired and/or a wireless mode of communication. In general, any network topology may be used. Information (e.g., data, software 425, etc.) may be communicated to and/or from computer system 400 via network interface device 445.

Computer system 400 may further include a video display adapter 460 for communicating a displayable image to a display device, such as display device 465. Examples of a display device include, but are not limited to, a liquid crystal display (LCD), a cathode ray tube (CRT), a plasma display, and any combinations thereof. In addition to a display device, a computer system 400 may include one or more other peripheral output devices including, but not limited to, an audio speaker, a printer, and any combinations thereof. Such peripheral output devices may be connected to bus 415 via a peripheral interface 470. Examples of a peripheral interface include, but are not limited to, a serial port, a USB connection, a FIREWIRE connection, a parallel connection, and any combinations thereof.

A digitizer (not shown) and an accompanying pen/stylus, if needed, may be included in order to digitally capture freehand input. A pen digitizer may be separately configured or coextensive with a display area of display device 465. Accordingly, a digitizer may be integrated with display device 465, or may exist as a separate device overlaying or otherwise appended to display device 465.

In one exemplary aspect, a method of reducing processing time in multi-corner static timing analysis, such as methods 100 and 300, may significantly reduce the runtime of a multi-corner timing analysis.

Exemplary embodiments have been disclosed above and illustrated in the accompanying drawings. It will be understood by those skilled in the art that various changes, omissions and additions may be made to that which is specifically disclosed herein without departing from the spirit and scope of the present invention.

Claims

1. A computerized method of decreasing processing time in multi-corner static timing analysis, the method comprising:

determining an n number of parameters (Pi) defining a full process space {P1, P2,... Pn} wherein the n number of parameters are organized in a parameter order from P1 to Pn, the full process space {P1, P2,... Pn} including an n number of process sub-spaces each including parameters Pi→n;
assigning a corresponding slack cutoff (ci) {c1, c2,... cn} for each parameter of the n number of parameters, wherein each corresponding slack cutoff ci represents an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space {Pi→n}; and
performing a multi-corner timing analysis utilizing a plurality of the corresponding slack cutoffs (ci) to output an indication of timing verification for one or more paths of an integrated circuit design.

2. A computerized method according to claim 1, wherein the parameter order is organized in decreasing order from the parameter having a highest impact on variability of timing to the parameter having a lowest impact on variability of timing.

3. A computerized method according to claim 2, wherein said performing a multi-corner timing analysis comprises:

conducting a starting corner timing analysis to determine a starting corner slack for each of one or more predetermined paths of an integrated circuit design;
comparing each starting corner slack to slack cutoff c1 to determine any paths having a starting corner slack that fails the slack cutoff c1;
analyzing one or more remaining paths of the paths that fail the previous slack cutoff comparison by varying the next parameter Pi in the parameter order, starting with P1, to each of its corner parameter values while holding any other unvaried parameter at its starting corner parameter value and holding any previously varied parameter at a corner parameter value that produced its corresponding worst slack value, said analyzing including: determining a worst slack value for each of the one or more remaining paths; comparing each worst slack value corresponding to varying Pi with slack cutoff ci+1; and determining any paths that have a worst slack value corresponding to varying Pi that fails the slack cutoff ci+1; and
repeating said analyzing step for each parameter Pi until no paths fail a slack cutoff comparison and/or said varying of Pn-1 is performed.

4. A computerized method according to claim 1, wherein said performing a multi-corner timing analysis comprises:

conducting a starting corner timing analysis to determine a starting corner slack for each of one or more predetermined paths of an integrated circuit design;
comparing each starting corner slack to slack cutoff c1 to determining any paths having a starting corner slack that fails the slack cutoff c1;
analyzing one or more remaining paths of the paths that fail the previous slack cutoff comparison by varying the next parameter Pi in the parameter order, starting with P1, to each of its non-starting corner parameter values while holding any other unvaried parameter at its starting corner parameter value and holding any previously varied parameter at a corner parameter value that produced its corresponding worst slack value, said analyzing including: determining a worst slack value for each of the one or more remaining paths; comparing each worst slack value corresponding to varying Pi with slack cutoff ci+1; and determining any paths that have a worst slack value corresponding to varying Pi that fails the slack cutoff ci+1; and
repeating said analyzing step for each parameter Pi until no paths fail a slack cutoff comparison and/or said varying of Pn-1 is performed.

5. A computerized method according to claim 4, wherein the one or more predetermined paths are all paths of an integrated circuit design.

6. A computerized method according to claim 4, wherein the one or more predetermined paths are one or more paths leading to a timing test location.

7. A computerized method according to claim 4, wherein the one or more predetermined paths exclude one or more paths removed from analysis by a preliminary process of reducing paths for multi-corner timing analysis.

8. A computerized method according to claim 1, further comprising generating a displayable image of the indication of timing verification.

9. A computerized method of decreasing processing time in multi-corner static timing analysis, the method comprising:

determining an n number of parameters (Pi) defining a full process space {P1, P2,... Pn} wherein the n number of parameters are organized in a parameter order from P1 to Pn based on decreasing impact on variability of timing, the full process space {P1, P2,... Pn} including an n number of process sub-spaces each including parameters Pi→n;
assigning a corresponding slack cutoff (ci) {c1, c2,... cn} for each parameter of the n number of parameters, wherein each corresponding slack cutoff ci represents an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space {Pi→n};
conducting a starting corner timing analysis to determine a starting corner slack for each of one or more predetermined paths of an integrated circuit design;
comparing each starting corner slack to slack cutoff c1 to determining any paths having a starting corner slack that fails the slack cutoff c1;
analyzing one or more remaining paths of the paths that fail the previous slack cutoff comparison by varying the next parameter Pi in the parameter order, starting with P1, to each of its non-starting corner parameter values while holding any other unvaried parameter at its starting corner parameter value and holding any previously varied parameter at a corner parameter value that produced its corresponding worst slack value, said analyzing including: determining a worst slack value for each of the one or more remaining paths; comparing each worst slack value corresponding to varying Pi with slack cutoff ci+1; and determining any paths that have a worst slack value corresponding to varying Pi that fails the slack cutoff ci+1;
repeating said analyzing step for each parameter Pi until no paths fail a slack cutoff comparison and/or said varying of Pn-1 is performed; and
outputting an indication of timing verification for one or more of the plurality of paths based on said analyzing.

10. A computerized method according to claim 9, wherein the one or more predetermined paths are all paths of an integrated circuit design.

11. A computerized method according to claim 9, wherein the one or more predetermined paths are one or more paths leading to a timing test location.

12. A computerized method according to claim 9, wherein the one or more predetermined paths exclude one or more paths removed from analysis by a preliminary process of reducing paths for multi-corner timing analysis.

13. A computerized method according to claim 9, further comprising generating a displayable image of the indication of timing verification.

14. A computer readable medium containing computer executable instructions implementing a method of decreasing processing time in multi-corner static timing analysis, the instructions comprising:

a set of instructions for determining an n number of parameters (Pi) defining a full process space {P1, P2,... Pn}, wherein the n number of parameters are organized in a parameter order from P1 to Pn, the full process space {P1, P2,... Pn} including an n number of process sub-spaces each including parameters Pi→n;
a set of instructions for assigning a corresponding slack cutoff (ci) {c1, c2,... cn} for each parameter of the n number of parameters, wherein each corresponding slack cutoff ci represents an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space {Pi→n}; and
a set of instructions for performing a multi-corner timing analysis utilizing a plurality of the corresponding slack cutoffs (ci) to output an indication of timing verification for one or more paths of an integrated circuit design.

15. A computer readable medium according to claim 14, wherein the parameter order is organized in decreasing order from the parameter having a highest impact on variability of timing to the parameter having a lowest impact on variability of timing.

16. A computer readable medium according to claim 15, wherein said a set of instructions for performing a multi-corner timing analysis comprises:

a set of instructions for conducting a starting corner timing analysis to determine a starting corner slack for each of one or more predetermined paths of an integrated circuit design;
a set of instructions for comparing each starting corner slack to slack cutoff c1 to determining any paths having a starting corner slack that fails the slack cutoff c1;
a set of instructions for analyzing one or more remaining paths of the paths that fail the previous slack cutoff comparison by varying the next parameter Pi in the parameter order, starting with P1, to each of its non-starting corner parameter values while holding any other unvaried parameter at its starting corner parameter value and holding any previously varied parameter at a corner parameter value that produced its corresponding worst slack value, said set of instructions for analyzing including: a set of instructions for determining a worst slack value for each of the one or more remaining paths; a set of instructions for comparing each worst slack value corresponding to varying Pi with slack cutoff ci+1; and a set of instructions for determining any paths that have a worst slack value corresponding to varying Pi that fails the slack cutoff ci+1; and
a set of instructions for repeating said analyzing step for each parameter Pi until no paths fail a slack cutoff comparison and/or said varying of Pn-1 is performed.

17. A computer readable medium according to claim 14, wherein said performing a multi-corner timing analysis comprises:

a set of instructions for conducting a starting corner timing analysis to determine a starting corner slack for each of one or more predetermined paths of an integrated circuit design;
a set of instructions for comparing each starting corner slack to slack cutoff c1 to determining any paths having a starting corner slack that fails the slack cutoff c1;
a set of instructions for analyzing one or more remaining paths of the paths that fail the previous slack cutoff comparison by varying the next parameter Pi in the parameter order, starting with P1, to each of its non-starting corner parameter values while holding any other unvaried parameter at its starting corner parameter value and holding any previously varied parameter at a corner parameter value that produced its corresponding worst slack value, said set of instructions for analyzing including: a set of instructions for determining a worst slack value for each of the one or more remaining paths; a set of instructions for comparing each worst slack value corresponding to varying Pi with slack cutoff ci+1; and a set of instructions for determining any paths that have a worst slack value corresponding to varying Pi that fails the slack cutoff ci+1; and
a set of instructions for repeating said analyzing step for each parameter Pi until no paths fail a slack cutoff comparison and/or said varying of Pn-1 is performed.

18. A computer readable medium according to claim 17, wherein the one or more predetermined paths are all paths of an integrated circuit design.

19. A computer readable medium according to claim 17, wherein the one or more predetermined paths are one or more paths leading to a timing test location.

20. A computer readable medium according to claim 17, wherein the one or more predetermined paths exclude one or more paths removed from analysis by a preliminary process of reducing paths for multi-corner timing analysis.

Patent History
Publication number: 20080209375
Type: Application
Filed: Feb 27, 2007
Publication Date: Aug 28, 2008
Patent Grant number: 7681157
Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION (Armonk, NY)
Inventors: Nathan C. Buck (Underhill, VT), John P. Dubuque (Jericho, VT), Eric A. Foreman (Fairfax, VT), Peter A. Habitz (Hinesburg, VT), Kerim Kalafala (Rhinebeck, NY), Peihua Qi (Wappingers Falls, NY), Chandramouli Visweswariah (Croton-on-Hudson, NY), Xiaoyue Wang (Kanata)
Application Number: 11/679,834
Classifications
Current U.S. Class: 716/6
International Classification: G06F 17/50 (20060101);