Enhancing photoresist performance using electric fields

Electric fields may be advantageously used in various steps of photolithographic processes. For example, prior to the pre-exposure bake, photoresists that have been spun-on the wafer may be exposed to an electric field to orient aggregates or other components within the unexposed photoresist. By aligning these aggregates or other components with the electric field, line edge roughness may be reduced, for example in connection with 193 nanometer photoresist. Likewise, during exposure, electric fields may be applied through uniquely situated electrodes or using a radio frequency coil. In addition, electric fields may be applied at virtually any point in the photolithography process by depositing a conductive electrode, which is subsequently removed during development. Finally, electric fields may be applied during the developing process to improve line edge roughness.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATION

This application is a divisional of U.S. patent application Ser. No. 10/679,816, filed Oct. 6, 2003.

BACKGROUND

This invention relates generally to the patterning of photoresist.

Photoresist may be utilized to transfer a pattern from a mask to a semiconductor wafer in a repeatable fashion. Generally the process of photolithography involves a few basic steps. Initially, a photoresist is formed on top of the wafer by a spin-on process. Excess solvent is then removed in a pre-exposure bake. Thereafter, certain regions on the wafer are selectively exposed to radiation. Next, the wafer is baked in the so-called post-exposure bake. Then the wafer and, particularly, the photoresist is developed and rinsed. Regions that are exposed may either be resistant to removal or may be more prone to removal so that the pattern of a mask is transferred to the wafer in a repeatable fashion.

The quality of the pattern that is transferred from the photoresist to the underlying layer is based at least in part on what is call line edge roughness. The rougher the resulting lines transferred to the photoresist, the rougher the transfer in the pattern to the semiconductor wafer, which in turn may impact the performance of the device under fabrication.

Thus, it would be desirable to reduce the line edge roughness of the photoresist.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a schematic cross section of one embodiment of the present invention;

FIG. 2 is a schematic depiction of an aggregate exposed to the electric fields shown in FIG. 1;

FIG. 3 is a schematic depiction of the effect of the electric field on the aggregate shown in FIG. 2;

FIG. 4 is a schematic cross section of another embodiment of the present invention;

FIG. 5 is a schematic cross section of still another embodiment of the present invention;

FIG. 6 is a cross section of yet another embodiment of the present invention;

FIG. 7 is a cross section of still another embodiment of the present invention; and

FIG. 8 is a schematic cross section of an apparatus in accordance with one embodiment of the present invention.

DETAILED DESCRIPTION

Referring to FIG. 1, a semiconductor substrate 12, such as a wafer covered by layers of other material, such as dielectric layers, may be covered by an unexposed, undeveloped photoresist 10. The photoresist 10 may be spun-on the substrate 12. In one embodiment, the substrate 12 may be grounded and the photoresist 12 may be exposed to an electric field indicated by arrows marked E.

In one embodiment, an electric field is applied before or during a pre-apply bake and may improve the distribution of polymers in the photoresist. The photoresist 12 may be a 193 nanometer or an extreme ultraviolet (EUV) photoresist which may be a blend of two polymers and/or a random copolymer containing both polar and non-polar components. The photoresist 12 may be a hydrogen-bonding polymer or copolymer, such as a poly(methylmethacrylate)-based or polyhydroxy styrene maleic anhydride and olefin-based block polymer.

The 193 nanometer photoresist may have aggregates randomly distributed in the resulting photoresist 12. These aggregates may contribute to the line edge roughness in some embodiments. The aggregates may be formed right after spin coating the photoresist 10 on the semiconductor substrate 12 irrespective of subsequent exposure and development processes. Further, the roughness of the photoresist 10 is transferred to the underlying substrate 12 in subsequent etch processes.

The aggregates may be denser than the bulk of the photoresist 10. The density of these aggregates may prevent their complete development, after exposure, by reducing the diffusivity/access of acids into the aggregates. One problem posed by these aggregates is their extension in both the lateral and vertical directions. In particular, the extension in the direction parallel to the surface of the substrate 12 may be a contributing cause of line edge roughness in some cases.

One potential reason for the aggregates may be hydrogen bond formation between polar parts of the polymer chains forming the photoresist 10. Orienting the polar polymer chain elements in a more vertical direction, than in a horizontal direction, may reduce line edge roughness.

Through exposure to an electric field, the aggregate M1 (FIG. 2) may become more aligned in the vertical direction, as indicated in FIG. 3, at M2 and compacted horizontally. As a result of this action on a large number of aggregates, molecules or elements of the photoresist 10, line edge roughness may be reduced.

Electric fields may be applied prior to exposure, before or during pre-exposure bake, when the photoresist 10 is above its glass transition temperature. This may be done by heating the photoresist film 10 or by solvent-induced depression of the glass transition temperature. Exposure to the electric field E shown in FIG. 1 may involve a photoresist 10 swollen with a non-polar solvent. Once the photoresist 10 has been oriented by the electric field, the solvent may be removed, for example by heating (pre-exposure bake) or other solvent removal techniques. This solvent removal may effectively “freeze” or make permanent the molecular vertical orientation. The orientation of the polymer molecules may occur during the pre-bake or before the pre-exposure bake. In one embodiment two pre-exposure bakes may be used: an initial bake to orient the polymers, and a second bake to remove the solvent.

The oriented photoresist 10 with the solvent removed is ready for exposure and development in a conventional lithographic process. These techniques may be particularly useful in connection with 193 mm or EUV photoresists that have aggregates.

The voltage of the electric field E used to orient the polymers or diblock copolymers that may form the photoresist 10 may be on the order of tens of volts in one embodiment. The distance between the electrodes that generate the electric field E may be on the order of one micrometer in one embodiment, resulting in long range order within the photoresist 10. The polymer film forming the photoresist 10 may be on the order of 200 nanometers thick with high electric fields in the polymer matrix on the order of 107 to 108 V/m as one example. For 193 nanometer line edge roughness reduction, the degree of ordering may be on the order of 5-20 nanometers horizontally, for example. The voltage to achieve such results may be on the order of less than ten volts but the distance of separation between the electrodes that apply the field and the semiconductor substrate 10 may on the order of a few millimeters where a 300 mm wafer is used to form the substrate 12. Depending on the size of the wafer, a higher voltage on the order of tens to hundreds of volts may be utilized to maintain an equivalent electric field.

A potential benefit of applying an electric field during pre-exposure bake in some embodiments is that an applied oscillating potential may more evenly distribute the photoacid generators in the resist, reducing one source of line edge roughness.

In another embodiment, an electric field may be applied during exposure. During exposure, the field may enhance photospeed in some embodiments by adding energy to the extreme ultraviolet-generated secondary electrons that may be responsible for activating the photoacid generators (PAGs). Photoresist with inherently low line edge roughness may be accelerated to acceptably fast photospeeds under exposure to an electric field. During exposure, the energy added to a liberated electron depends on the applied field strength and the distance traveled by the electron before it is re-absorbed or scattered. For a 5 nanometer scattering distance and 100 volts applied over 100 nanometer thickness, the extra energy is about 5 eV, which would be more than the original energy of the secondary electron.

According to FIG. 4, chemically amplified extreme ultraviolet resist may be controlled using an applied voltage from a voltage source 16. In this case, the substrate 12 can be covered by a photoresist layer 10. A voltage potential is applied across the photoresist 10 during post-exposure bake, pre-exposure bake or possibly during exposure. The electrode 16a may be in the form of a ring, in one embodiment, to avoid obstructing the exposing radiation when the electrode 16a is used prior to exposure. Upon exposure to extreme ultraviolet radiation R, electrons e may be released. While a DC potential 16 is depicted, an AC source may also be used.

In another embodiment, shown in FIG. 5, a thin layer of conductive material 14 may be applied over the resist 10 in order to apply the potential. Upon exposure to extreme ultraviolet radiation R, electrons e may be released. The conductive material 14 may be deposited, for example, by spin-on coating in one embodiment.

The material 14 may comprise a water-soluble conductive organic material, for example, a functionalized polythiophene. The material 14 may also comprise a conductive polymer, for example an onium sulfonate salt photoacid generator. In addition to onium sulfonate salts, the material 14 may also comprise acidic species, for example, ammonium sulfonate salts. The spun-on electrode material 14 may work with conventional resists. In one embodiment, the material 14 is water soluble so it may be washed away during the development stages.

Next, referring to FIG. 6, passing alternating current through a radio (or other) frequency coil 16b may enhance photospeed by adding energy to the extreme ultraviolet generated secondary electrons e. The coil 16b may induce the desired electric field without obstructing the exposure of the photoresist 10. Thus, the coil 16b may be used both before, after, and during exposure.

Referring to FIG. 7, an electric field may be applied to the conductive layer 14 during post-exposure bake. If sufficiently thin, the layer 14 may also be used prior to or during exposure.

Each of a low energy radio frequency coil 16b or electrode 16a may apply the potential to the photoresist without the use of a conductive material 14. The coil 16b or electrode 16a simplify the field exposure during post-exposure bake or pre-exposure bake.

Thus, in one embodiment, the resist may be spun-on and exposed. Then the conductive material as shown in FIGS. 5 and 7 may be spun-on. Post-exposure bake of the wafer may be done with an applied potential as shown in FIGS. 6 and 8. Thereafter, the exposed structure may be developed and rinsed. Alternatively, a potential may be applied during exposure. In still another alternative, potential may be applied during pre-exposure bake. The potential may be applied during exposure or pre-exposure for example using the radio frequency applied field.

In another embodiment, electric fields may assist during development of photoresist. Removal of the exposed, baked photoresist by a developer may be by way of an electrochemical reaction. The reaction may occur between an negatively charged basic developer material, such as TMAH, and the polymer forming the photoresist, for example a phenolic compound with the diblocked polymer to be developed away. In the presence of an electric field, the local concentration of the developer hydroxyl ions is given by the Boltzmann distribution:


ρ(z)=ρo exp[eZΨ(z)/kT]

where ρo is the ion concentration at the top of the developer, e is the electron charge, Z is the valence of the ions, Ψ(z) is the local potential, k is the Boltzmann's constant and T is temperature.

By adding an external potential V(operating system), the local density changes as:


ρ(z)=ρo exp[ez(Ψ(z)+V(z)kT]

allowing the developer concentration to be modified by the applied electric field.

Referring to FIG. 8, in accordance with another embodiment of the present invention, an exposed, undeveloped wafer W may be placed on a ground plane 12 and developer sprayed inside the development module 30 until a puddle is created. A powered electrode 28 is then placed on top of the puddle and an electric field is applied between the powered electrode 28 and the ground plane 12. A DC field (from DC potential 20) may result in a potential gradient between the top and bottom of the photoresist 26 positioned on the wafer 10. The resist development reaction rate is higher at the bottom of the photoresist 26, resulting in more vertical profiles and hence enhanced resolution.

An AC potential from the source 22 attracts negatively charged ions and the base developer solution closer to the bottom of the photoresist 26 when the ground plane is at a relatively positive potential. The AC potential attracts the negatively charged ions to the top of the resist when the powered electrode is at a relatively positive potential. This results in more uniform distribution of developer ions, such as negatively charged ions, smoothing line edge roughness.

While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims

1. A method comprising:

forming a photoresist on a substrate;
baking said photoresist before exposure; and
while baking said photoresist, applying an electric field.

2. The method of claim 1 including exposing said photoresist to an electric field using a radio frequency coil.

3. The method of claim 1 including exposing said photoresist to an electric field using an electrode with an opening therethrough.

4. The method of claim 3 including using a ring shaped electrode.

5. The method of claim 1 including exposing said baked photoresist to extreme ultraviolet radiation.

Patent History
Publication number: 20080220380
Type: Application
Filed: Mar 13, 2008
Publication Date: Sep 11, 2008
Inventors: Robert Bristol (Portland, OR), Heidi Cao (Portland, OR), Manish Chandhok (Portland, OR), Robert Meagley (Hillsboro, OR), Vijayakumar S. Ramachandrarao (Hillsboro, OR)
Application Number: 12/075,703
Classifications
Current U.S. Class: Forming Nonplanar Surface (430/322)
International Classification: G03F 7/20 (20060101);