Apparatus for Plasma Processing a Substrate and a Method Thereof

An apparatus for processing a substrate includes a pulsed power supply that generates a waveform having a first period with a first power level and a second period with a second power level. A plasma source generates a first plasma during the first period and a second plasma during the second period. The first plasma may have higher plasma density than the second plasma. A bias voltage power supply generates a bias voltage waveform at an output that is electrically connected to a platen which supports a substrate. The bias voltage waveform having a first voltage and a second voltage may be coupled to the substrate. The first voltage may have more negative potential than the second voltage.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
RELATED APPLICATION SECTION

This application is a continuation application and claims priority to U.S. patent application Ser. No. 11/771,190, filed Jun. 29, 2007, entitled “Plasma Doping with Enhanced Charge Neutralization.” The entire specification of U.S. patent application Ser. No. 11/771,190 is incorporated herein by reference.

BACKGROUND OF THE INVENTION

Plasma processing has been widely used in the semiconductor and other industries for many decades. Plasma processing is used for tasks such as cleaning, etching, milling, and deposition. More recently, plasma processing has been used for doping. Plasma doping is sometimes referred to as PLAD or plasma immersion ion implantation (PIII). Plasma doping systems have been developed to meet the doping requirements of some modern electronic and optical devices.

Plasma doping is fundamentally different from conventional beam-line ion implantation systems that accelerate ions with an electric field and then filter the ions according to their mass-to-charge ratio to select the desired ions for implantation. In contrast, plasma doping systems immerse the target in a plasma containing dopant ions and bias the target with a series of negative voltage pulses. The electric field within the plasma sheath accelerates ions toward the target thereby implanting the ions into the target surface.

Plasma doping systems for the semiconductor industry generally require a very high degree of process control. Conventional beam-line ion implantation systems that are widely used in the semiconductor industry have excellent process control and also excellent run-to-run uniformity. Conventional beam-line ion implantation systems provide highly uniform doping across the entire surface of state-of-the art semiconductor substrates.

In general, the process control of plasma doping systems is not as good as conventional beam-line ion implantation systems. In many plasma doping systems, charge tends to accumulate on the substrate being plasma doped. This charge build-up can result in the development of a relatively high potential voltage on the substrate that can cause doping non-uniformities, arcing, and device damage.

BRIEF DESCRIPTION OF THE DRAWINGS

The invention, in accordance with preferred and exemplary embodiments, together with further advantages thereof, is more particularly described in the following detailed description, taken in conjunction with the accompanying drawings. The drawings are not necessarily to scale, emphasis instead generally being placed upon illustrating principles of the invention.

FIG. 1 illustrates a plasma doping system with charge neutralization according to the present invention.

FIG. 2A illustrates a prior art waveform generated by the RF source having a single amplitude that can cause charge accumulation on the substrate under some conditions.

FIG. 2B illustrates a waveform generated by the bias voltage supply that applies a negative voltage to the substrate during plasma doping to attract ions in the plasma.

FIG. 3A illustrates a waveform generated by the RF source according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate.

FIG. 3B illustrates a waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma doping to attract ions.

FIG. 3C illustrates a waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma doping to attract ions and that applies a positive voltage to the substrate after plasma doping is terminated to assist in neutralizing charge on the substrate.

FIGS. 4A-C illustrates a waveform generated by the RF source and waveforms generated by the bias voltage supply according to the present invention that are similar to the waveforms described in connection with FIGS. 3A-3C, but that are displaced in time so as to plasma dope with both the first and the second power level PRF1, PRF2.

FIGS. 5A-C illustrate a waveform generated by the RF source with a variable frequency and waveforms generated by the bias voltage supply according to another embodiment of the present invention.

DETAILED DESCRIPTION

Reference in the specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment.

It should be understood that the individual steps of the methods of the present invention may be performed in any order and/or simultaneously as long as the invention remains operable. Furthermore, it should be understood that the apparatus and methods of the present invention can include any number or all of the described embodiments as long as the invention remains operable.

The present teachings will now be described in more detail with reference to exemplary embodiments thereof as shown in the accompanying drawings. While the present teachings are described in conjunction with various embodiments and examples, it is not intended that the present teachings be limited to such embodiments. On the contrary, the present teachings encompass various alternatives, modifications and equivalents, as will be appreciated by those of skill in the art. Those of ordinary skill in the art having access to the teachings herein will recognize additional implementations, modifications, and embodiments, as well as other fields of use, which are within the scope of the present disclosure as described herein. For example, it should be understood that the methods for neutralizing charge in a plasma doping system according to the present invention can be used with any type of plasma source.

Many plasma doping systems operate in a pulsed mode of operation where a series of pulses is applied to the plasma source to generate a pulsed plasma. Also, a series of pulses can be applied to the substrate being plasma doped during the on-periods of the plasma source pulses to bias the substrate to attract ions for implantation. In the pulsed mode of operation, charge tends to accumulate on the substrate being plasma doped during the on-period of the plasma source pulses. When the duty cycle of the plasma source pulses is relatively low (i.e. less than about 25%), the charge tends to be efficiently neutralized by electrons in the plasma.

However, there is currently a need to perform plasma doping in a pulsed mode of operation with relatively high duty cycles (i.e. duty cycles above about a 25%). Such higher duty cycles are necessary to achieve the desired throughputs and to maintain doping levels that are required for some modern devices. For example, it is desirable to perform poly gate doping and counter doping of some state-of-the art devices by plasma doping with a duty cycle greater than 25%.

As the duty cycle is increased above about 25%, there is a shorter period of time where the charge on the substrate being plasma doped can be neutralized during the pulse-off period of the plasma source. Consequently, charge accumulation or charge build up can occur on the substrate being plasma doped, which results in the development of a relatively high potential voltage on the substrate being plasma doped that can cause doping non-uniformities, arcing, and device damage. For example, thin gate dielectrics can be easily damaged by excess charge build up.

The present invention relates to methods and apparatus for neutralizing charge during plasma doping. The method and apparatus of the present invention allow implants to be performed at higher duty cycles by reducing the probability of damage caused by charging effects. In particular, a plasma doping apparatus according to the present invention includes a RF power supply that varies the RF power applied to the plasma source to at least partially neutralize charge accumulation during plasma doping. In addition, the bias voltage to the substrate being plasma doped can be varied to at least partially neutralize charge accumulation. Furthermore, the relative timing of the RF power pulses applied to the plasma source and the bias voltage applied to the substrate being plasma doped can be varied to at least partially neutralize charge accumulation.

More specifically, a plasma implantation system according to the present invention includes a RF power supply that varies the RF power applied to the plasma source to at least partially neutralize charge accumulation during plasma doping. In various embodiments single or multiple RF power supplies are used to independently power the plasma source and the bias the substrate being plasma doped so as to at least partially neutralize charge during plasma doping. Also, in various embodiments, the RF power applied to the plasma source and the bias voltage applied to the substrate during plasma doping are applied at relative times to at least partially neutralize charge during plasma doping.

In addition to neutralizing charge, the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma doping is terminated (i.e. pulse-off period) in order to improve the retained dose. The resulting improvement in retained dose will help to reduce implant time and thus will increase throughput. Also, in addition to neutralizing charge, the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma doping is terminated in order to achieve knock-on type implant mechanisms that obtain better sidewall coverage.

FIG. 1 illustrates a plasma doping system 100 with charge neutralization according to the present invention. It should be understood that this is only one of many possible designs plasma doping systems that can perform ion implantation with charge neutralization according to the present invention. The plasma doping system 100 includes an inductively coupled plasma source 101 having both a planar and a helical RF coil and a conductive top section. A similar RF inductively coupled plasma source is described in U.S. patent application Ser. No. 10/905,172, filed on Dec. 20, 2004, entitled “RF Plasma Source with Conductive Top Section,” which is assigned to the present assignee. The entire specification of U.S. patent application Ser. No. 10/905,172 is incorporated herein by reference. The plasma source 101 shown in the plasma doping system 100 is well suited for plasma doping applications because it can provide a highly uniform ion flux and the source also efficiently dissipates heat generated by secondary electron emissions.

More specifically, the plasma doping system 100 includes a plasma chamber 102 that contains a process gas supplied by an external gas source 104. The external gas source 104, which is coupled to the plasma chamber 102 through a proportional valve 106, supplies the process gas to the chamber 102. In some embodiments, a gas baffle is used to disperse the gas into the plasma source 101. A pressure gauge 108 measures the pressure inside the chamber 102. An exhaust port 110 in the chamber 102 is coupled to a vacuum pump 112 that evacuates the chamber 102. An exhaust valve 114 controls the exhaust conductance through the exhaust port 110.

A gas pressure controller 116 is electrically connected to the proportional valve 106, the pressure gauge 108, and the exhaust valve 114. The gas pressure controller 116 maintains the desired pressure in the plasma chamber 102 by controlling the exhaust conductance and the process gas flow rate in a feedback loop that is responsive to the pressure gauge 108. The exhaust conductance is controlled with the exhaust valve 114. The process gas flow rate is controlled with the proportional valve 106.

In some embodiments, a ratio control of trace gas species is provided to the process gas by a mass flow meter that is coupled in-line with the process gas that provides the primary dopant species. Also, in some embodiments, a separate gas injection means is used for in-situ conditioning species. Furthermore, in some embodiments, a multi-port gas injection means is used to provide gases that cause neutral chemistry effects that result in across substrate variations.

The chamber 102 has a chamber top 118 including a first section 120 formed of a dielectric material that extends in a generally horizontal direction. A second section 122 of the chamber top 118 is formed of a dielectric material that extends a height from the first section 120 in a generally vertical direction. The first and second sections 120, 122 are sometimes referred to herein generally as the dielectric window. It should be understood that there are numerous variations of the chamber top 118. For example, the first section 120 can be formed of a dielectric material that extends in a generally curved direction so that the first and second sections 120, 122 are not orthogonal as described in U.S. patent application Ser. No. 10/905,172, which is incorporated herein by reference. In other embodiment, the chamber top 118 includes only a planer surface.

The shape and dimensions of the first and the second sections 120, 122 can be selected to achieve a certain performance. For example, one skilled in the art will understand that the dimensions of the first and the second sections 120, 122 of the chamber top 118 can be chosen to improve the uniformity of plasmas. In one embodiment, a ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is adjusted to achieve a more uniform plasma. For example, in one particular embodiment, the ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is in the range of 1.5 to 5.5.

The dielectric materials in the first and second sections 120, 122 provide a medium for transferring the RF power from the RF antenna to a plasma inside the chamber 102. In one embodiment, the dielectric material used to form the first and second sections 120, 122 is a high purity ceramic material that is chemically resistant to the process gases and that has good thermal properties. For example, in some embodiments, the dielectric material is 99.6% Al2O3 or AlN. In other embodiments, the dielectric material is Yittria and YAG.

A lid 124 of the chamber top 118 is formed of a conductive material that extends a length across the second section 122 in the horizontal direction. In many embodiments, the conductivity of the material used to form the lid 124 is high enough to dissipate the heat load and to minimize charging effects that results from secondary electron emission. Typically, the conductive material used to form the lid 124 is chemically resistant to the process gases. In some embodiments, the conductive material is aluminum or silicon.

The lid 124 can be coupled to the second section 122 with a halogen resistant O-ring made of fluorocarbon polymer, such as an O-ring formed of Chemrz and/or Kalrex materials. The lid 124 is typically mounted to the second section 122 in a manner that minimizes compression on the second section 122, but that provides enough compression to seal the lid 124 to the second section. In some operating modes, the lid 124 is RF and DC grounded as shown in FIG. 1.

In some embodiments, the chamber 102 includes a liner 125 that is positioned to prevent or greatly reduce metal contamination by providing line-of-site shielding of the inside of the plasma chamber 102 from metal sputtered by ions in the plasma striking the inside metal walls of the plasma chamber 102. Such liners are described in U.S. patent application Ser. No. 11,623,739, filed Jan. 16, 2007, entitled “Plasma Source with Liner for Reducing Metal Contamination,” which is assigned to the present assignee. The entire specification of U.S. patent application Ser. No. 11/623,739 is incorporated herein by reference.

In various embodiments, the liner can be a one-piece or unitary plasma chamber liner, or a segmented plasma chamber liner. In many embodiments, the plasma chamber liner 125 is formed of a metal base material, such as aluminum. In these embodiments, at least the inner surface 125′ of the plasma chamber liner 125 includes a hard coating material that prevents sputtering of the plasma chamber liner base material.

Some plasma doping processes generate a considerable amount of non-uniformly distributed heat on the inner surfaces of the plasma source 101 because of secondary electron emissions. In some embodiments, the plasma chamber liner 125 is a temperature controlled plasma chamber liner 125. In addition, in some embodiments, the lid 124 comprises a cooling system that regulates the temperature of the lid 124 and surrounding area in order to dissipate the heat load generated during processing. The cooling system can be a fluid cooling system that includes cooling passages in the lid 124 that circulate a liquid coolant from a coolant source.

A RF antenna is positioned proximate to at least one of the first section 120 and the second section 122 of the chamber top 118. The plasma source 101 in FIG. 1 illustrates two separate RF antennas that are electrically isolated from one another. However, in other embodiments, the two separate RF antennas are electrically connected. In the embodiment shown in FIG. 1, a planar coil RF antenna 126 (sometimes called a planar antenna or a horizontal antenna) having a plurality of turns is positioned adjacent to the first section 120 of the chamber top 118. In addition, a helical coil RF antenna 128 (sometimes called a helical antenna or a vertical antenna) having a plurality of turns surrounds the second section 122 of the chamber top 118.

In some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is terminated with a capacitor 129 that reduces the effective antenna coil voltage. The term “effective antenna coil voltage” is defined herein to mean the voltage drop across the RF antennas 126, 128. In other words, the effective coil voltage is the voltage “seen by the ions” or equivalently the voltage experienced by the ions in the plasma.

Also, in some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a dielectric layer 134 that has a relatively low dielectric constant compared to the dielectric constant of the Al203 dielectric window material. The relatively low dielectric constant dielectric layer 134 effectively forms a capacitive voltage divider that also reduces the effective antenna coil voltage. In addition, in some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a Faraday shield 136 that also reduces the effective antenna coil voltage.

A RF source 130, such as a RF power supply, is electrically connected to at least one of the planar coil RF antenna 126 and helical coil RF antenna 128. In many embodiments, the RF source 130 is coupled to the RF antennas 126, 128 by an impedance matching network 132 that matches the output impedance of the RF source 130 to the impedance of the RF antennas 126, 128 in order to maximize the power transferred from the RF source 130 to the RF antennas 126, 128. Dashed lines from the output of the impedance matching network 132 to the planar coil RF antenna 126 and the helical coil RF antenna 128 are shown to indicate that electrical connections can be made from the output of the impedance matching network 132 to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128.

In some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is formed such that it can be liquid cooled. Cooling at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 will reduce temperature gradients caused by the RF power propagating in the RF antennas 126, 128.

In some embodiments, the plasma source 101 includes a plasma igniter 138. Numerous types of plasma igniters can be used with the plasma source 101. In one embodiment, the plasma igniter 138 includes a reservoir 140 of strike gas, which is a highly-ionizable gas, such as argon (Ar), which assists in igniting the plasma. The reservoir 140 is coupled to the plasma chamber 102 with a high conductance gas connection. A burst valve 142 isolates the reservoir 140 from the process chamber 102. In another embodiment, a strike gas source is plumbed directly to the burst valve 142 using a low conductance gas connection. In some embodiments, a portion of the reservoir 140 is separated by a limited conductance orifice or metering valve that provides a steady flow rate of strike gas after the initial high-flow-rate burst.

A platen 144 is positioned in the process chamber 102 a height below the top section 118 of the plasma source 101. The platen 144 holds a substrate 146 for plasma doping. In many embodiments, the substrate 146 is electrically connected to the platen 144. In the embodiment shown in FIG. 1, the platen 144 is parallel to the plasma source 101. However, in one embodiment of the present invention, the platen 144 is tilted with respect to the plasma source 101.

A platen 144 is used to support a substrate 146 or other workpieces for processing. In some embodiments, the platen 144 is mechanically coupled to a movable stage that translates, scans, or oscillates the substrate 146 in at least one direction. In one embodiment, the movable stage is a dither generator or an oscillator that dithers or oscillates the substrate 146. The translation, dithering, and/or oscillation motions can reduce or eliminate shadowing effects and can improve the uniformity of the ion beam flux impacting the surface of the substrate 146.

A bias voltage power supply 148 is electrically connected to the platen 144. The bias voltage power supply 148 is used to bias the platen 144 and the substrate 146 so that dopant ions in the plasma are extracted from the plasma and impact the substrate 146. The bias voltage power supply 148 can be a DC power supply, a pulsed power supply, or a RF power supply. In plasma doping apparatus according the present invention, the bias voltage power supply 148 has an output that is independent of the output of the RF source 130 that powers at least one of the planar coil RF antenna 126 and helical coil RF antenna 128. However, the bias voltage power supply 148 and the RF source 130 can physically be the same power supply as long as the bias voltage output is independent of the RF source output.

A controller 152 is used to control the RF power supply 130 and the bias voltage power supply 148 to generate a plasma and to bias the substrate 146 so as to at least partially neutralize charge accumulation during plasma doping according to the present invention. The controller 152 can be part of the power supplies 130, 148 or can be a separate controller that is electrically connected to control inputs of the power supplies 130, 148. The controller 152 controls the RF power supply 130 so that pulses are applied to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 with at least two different amplitudes. Also, the controller 152 controls the RF power supply 130 and the bias voltage power supply 148 so that the pulses are applied to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 and to the substrate at relative times that at least partially neutralize charge accumulation during plasma doping according to the present invention.

One skilled in the art will appreciate that the there are many different possible variations of the plasma source 101 that can be used with the features of the present invention. See for example, the descriptions of the plasma sources in U.S. patent application Ser. No. 10/908,009, filed Apr. 25, 2005, entitled “Tilted Plasma Doping.” Also see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/163,303, filed Oct. 13, 2005, entitled “Conformal Doping Apparatus and Method.” Also see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/163,307, filed Oct. 13, 2005, entitled “Conformal Doping Apparatus and Method.” In addition, see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/566,418, filed Dec. 4, 2006, entitled “Plasma Doping with Electronically Controllable implant Angle.” The entire specification of U.S. patent application Ser. Nos. 10/908,009, 11/163,303, 11/163,307 and 11/566,418 are herein incorporated by reference.

In operation, the controller 152 instructs the RF source 130 to generate RF currents that propagate in at least one of the RF antennas 126 and 128. That is, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is an active antenna. The term “active antenna” is herein defined as an antenna that is driven directly by a power supply. In many embodiments of the plasma doping apparatus of the present invention, the RF source 130 operates in a pulsed mode. However, the RF source 130 can also operate in the continuous mode.

In some embodiments, one of the planar coil antenna 126 and the helical coil antenna 128 is a parasitic antenna. The term “parasitic antenna” is defined herein to mean an antenna that is in electromagnetic communication with an active antenna, but that is not directly connected to a power supply. In other words, a parasitic antenna is not directly excited by a power supply, but rather is excited by an active antenna, which in the present invention is one of the planar coil antenna 126 and the helical coil antenna 128 powered by the RF source 130. In some embodiments of the invention, one end of the parasitic antenna is electrically connected to ground potential in order to provide antenna tuning capabilities. In this embodiment, the parasitic antenna includes a coil adjuster 150 that is used to change the effective number of turns in the parasitic antenna coil. Numerous different types of coil adjusters, such as a metal short, can be used.

The RF currents in the RF antennas 126, 128 then induce RF currents into the chamber 102. The RF currents in the chamber 102 excite and ionize the process gas so as to generate a plasma in the chamber 102. The plasma chamber liner 125 shields metal sputtered by ions in the plasma from reaching the substrate 146.

The controller 152 also instructs the bias voltage power supply 148 to bias the substrate 146 with a negative voltage that attract ions in the plasma towards the substrate 146. During the negative voltage pulses, the electric field within the plasma sheath accelerates ions toward the substrate 146 which implants the ions into the surface of the substrate 146. In some embodiments, a grid is used to extract ions in the plasma towards the substrate 146.

When the RF source 130 and the bias voltage power supply 148 are operated in the pulse mode under some processing conditions, such as with relatively high duty cycles, charge can accumulate on the substrate 146. Charge accumulation can result in the development of a relatively high potential voltage on the substrate 146 being plasma doped that can cause doping non-uniformities, arcing, and device damage.

FIG. 2A illustrates a prior art waveform 200 generated by the RF source 130 having a single amplitude that can cause charge accumulation on the substrate 146 under some conditions. The waveform 200 is at ground potential until the plasma is generated with a pulse having a power level PRF 202. The power level PRF 202 is chosen to be suitable for plasma doping. The pulse terminates after the pulse period TP 204 and then returns to ground potential. The waveform then periodically repeats.

FIG. 2B illustrates a waveform 250 generated by the bias voltage supply 148 according to the present invention that applies a negative voltage 252 to the substrate 146 during plasma doping to attract ions in the plasma. The negative voltage 252 is applied during the period T1 254 when the waveform 200 generated by the RF source 130 has a power equal to the power level PRF 202. The waveform 200 is at ground potential during the period T2 256 when the plasma doping is terminated. At relatively high duty cycles (i.e. greater than about 25%), charge tends to accumulate on the substrate 146 during the pulse period T1 254 when the waveform 250 generated by the RF source 130 has a power equal to the power level PRF 202.

The methods and apparatus of the present invention allow plasma doping implants to be performed at higher duty cycles by reducing the probability of damage caused by charging effects. There are numerous methods according to the present invention to power the plasma source 101 and to bias the substrate 146 being process to at least partially neutralize charge accumulation on the substrate 146.

FIG. 3A illustrates a waveform 300 generated by the RF source 130 according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate 146. The waveform 300 is pulsed and has a first 302 and second power level 304 indicated in the figure as PRF1 and PRF2, respectively. However, it should be understood that waveforms with more than two amplitudes can be used in the methods of the present invention to at least partially neutralize charge accumulation on the substrate 146. It should also be understood that the waveforms may or may not have discrete amplitudes. For example, the waveforms can be continuously changing. That is, in some embodiments, the waveforms can ramp (i.e. have positive and negative slopes) linearly or nonlinearly.

The first power level PRF1 302 is chosen to provide enough RF power to at least partially neutralize charge accumulation on the substrate 146 when the substrate 146 is not biased for plasma doping. The second power level PRF2 304 is chosen to be suitable for plasma doping. In various embodiments, the waveform 300 generated by the RF source 130 including the first and second power levels PRF1 302, PRF2 304 is applied to one or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 (see FIG. 1). In one specific embodiment, the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the first power levels PRF1 and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the second power levels PRF2. In another specific embodiment, the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a first frequency and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a second frequency that is different from the first frequency as described in connection with FIGS. 5A-5C.

The waveform 300 shown in FIG. 3A indicates that the first power level PRF1 302 is greater than the second power level PRF2 304. However, in other embodiments, the first power level PRF1 302 is less than the second power level PRF2 304. Also, in some embodiments, the waveform 300 includes a third power level that is zero or some relatively low power level when the substrate 146 is not biased for plasma doping.

The waveform 300 also indicates a first pulse period TP1 306 corresponding to the time period were the waveform 300 has a power equal to the first power level PRF1 302 and a second pulse period TP2 308 corresponding to the time period were the waveform has a power equal to the second power level PRF2 304. The total multi-amplitude pulse period for the waveform 300 TTotal 310 is the combination of the first pulse period TP1 306 and the second pulse period TP2 308. For example, in one embodiment, the first and second pulse periods TP1 306, TP2 308 are both in the range of 30-500 μs and the total pulse period TTotal 310 is in the range of 60 μs-1 ms. In other embodiments, the total pulse period TTotal 310 can be on order of 1 ms or greater.

FIG. 3A indicates that the frequency of the waveform 300 during the first pulse period TP1 306 is the same as the frequency of the waveform 300 during the second pulse period TP2 308. However, it should be understood that in various embodiments, the frequency of the waveform 300 during the first pulse period TP1 306 can be different from the frequency of the waveform 300 during the second pulse period TP2 308 as described in connection with FIGS. 5A-5C. In addition, the frequency of the waveform can be changed within at least one of the first and the second pulse periods TP1, 306, TP2, 308.

Thus, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to at least partially neutralize charge accumulation during plasma doping. In addition, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to improve the retained dose as described herein. Furthermore, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to assist in creating knock-on implants as described herein.

FIG. 3B illustrates a waveform 350 generated by the bias voltage supply 148 according to the present invention that applies a negative voltage 352 to the substrate 146 during plasma doping to attract ions. The negative voltage 352 is applied during the second pulse period TP2 308 when the waveform 350 generated by the RF source 130 has a power equal to the second power level PRF2 304. The waveform 350 is at ground potential during the first pulse period TP1 306 when the plasma doping is terminated and the waveform 300 has a power equal to the first power level PRF1 302.

Applying a waveform to the plasma source 101 with two different power levels where the first power level PRF1 302 is applied by the RF source 130 during the period 306 TP1 306 when the waveform 350 generated by the bias voltage supply 148 is at ground potential will assist in neutralizing charge accumulated on the substrate 146. Electrons in the corresponding plasma will neutralize at least some of the charge accumulated on the substrate 146.

FIG. 3C illustrates a waveform 360 generated by the bias voltage supply 148 according to the present invention that applies a negative voltage 362 to the substrate 146 during plasma doping to attract ions and that applies a positive voltage 364 to the substrate 146 after plasma doping is terminated to assist in neutralizing charge on the substrate 146. The negative voltage 362 is applied during the second pulse period TP2 308 when the waveform 300 generated by the RF source 130 has a power equal to the second power level PRF2 304. The waveform 360 is at a positive potential during the first pulse period TP1 306 when the waveform 300 generated by the RF source 130 has a power equal to the first power level PRF1 302.

Applying a waveform to the plasma source 101 with two different power levels where the first power level PRF1 302 is applied by the RF source 130 during the first period 306 TP1 306 when the waveform 360 generated by the bias voltage supply 148 is at a positive potential will assist in neutralizing charge accumulated on the substrate 146. Electrons in the corresponding plasma will neutralize at least some of the charge accumulated on the substrate 146. In addition, the positive voltage 364 applied the substrate 146 will also neutralize at least some of the charge accumulated on the substrate 146.

FIGS. 4A-C illustrate a waveform 400 generated by the RF source 130 and waveforms 402, 404 generated by the bias voltage supply 148 according to the present invention that are similar to the waveforms 300, 350, and 360 described in connection with FIGS. 3A-3C, but that are displaced in time relative to the waveforms 300, 350, and 360 so as to plasma dope with both the first and the second power level PRF1 302, PRF2 304. Changing the power generated by the RF source 130 during plasma doping allows the user to more precisely control the amount of charge that is accumulating on the surface of the substrate 146 during plasma doping. For example, increasing the power near the end of the second pulse period TP2 308 will assist in neutralizing at least some of the charge accumulated on the substrate 146.

FIGS. 5A-C illustrate a waveform 500 generated by the RF source 130 with a variable frequency and waveforms 502, 504 generated by the bias voltage supply 148 according to another embodiment of the present invention. The waveform 500 is similar to the waveforms 300, 400 described in connection with FIGS. 3 and 4. However, the RF powers in the first and second pulse periods TP1 306, TP2 308 are the same, but the frequencies are different. Changing the frequency of the waveform 500 changes the ion/electron density and, therefore, changes the charge neutralization efficiency.

Thus, in one embodiment, the frequency of the waveform 500 in the first pulse period TP1 306 is different from the frequency of the waveform 500 in the second pulse period TP2 308 and these frequencies are chosen to at least partially neutralize charge accumulation during plasma doping. The waveforms 502, 504 are similar to the waveforms 350 and 360 that were described in connection with FIG. 3. However, in other embodiments, the waveforms 502, 504 are displaced in time relative to the waveform 500, similar to the waveforms 402, 404 that were described in connection with FIG. 4.

In addition, in one aspect of the present invention, at least one of the multiple power levels generated by the RF source 130, the frequency of the waveform 500 in at least one of the first and second pulse periods TP1 306, TP2 308, and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 are chosen to improve the retained dose on the substrate 146. For example, generating multiple power levels with the RF source 130 where one power is generated by the RF source 130 when the bias voltage is at ground potential allows the user to use less power during plasma doping because some plasma doping will occur between negative bias voltage steps. Using less power during plasma doping will result in less deposition and, therefore, a higher retained dose. The operating pressure, gas flow rates, type of dilution gas, and plasma source power can also be selected to improve the retained dose.

In addition, in one aspect of the present invention, at least one of the multiple power levels generated by the RF source 130, the frequency of the waveform 500 in at least one of the first and second pulse periods TP1 306, TP2 308, and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 are chosen to obtain better sidewall coverage. For example, waveforms can be generated by the RF source 130 with multiple power levels, multiple frequencies, and with certain relative timings with respect to the waveforms generated by the bias voltage supply 148 so as to create knock-on implants. The term “knock-on implant” is defined herein as a recoil implantation where a non-dopant species is implanted through the surface layers of the substrate 146 to drive the dopant material into the substrate 146.

The non-dopant species used for the knock-on implant can be a benign species. For example, inert ions, such as He, Ne, Ar, Kr and Xe, can be formed from an inert feed gas. In some embodiments, the mass of the inert ions is chosen to be similar to a mass of the desired dopant ions. The RF source 130 generates a RF power level that directs the inert ions towards the substrate 146 with a sufficient energy to physically knock the deposited dopant material into both the planar and nonplanar features of the substrate 146 upon impact. Also, the operating pressure, gas flow rate, plasma source power, gas dilution, and duty cycle of pulsed bias supply can be chosen to enhance knock-on implants.

One skilled in the art will appreciate that waveforms generated by the RF source 130 according to the present invention can have both multiple amplitudes and multiple frequencies and can have various relative timings with respect to the waveforms generated by the bias voltage supply 148. In fact, there are an almost infinite number of possible waveforms with multiple power levels and multiple frequencies that can be generated by the RF source 130 and relative timing with respect to the waveforms generated by the bias voltage supply 148 that will at least partially neutralize charge according to the present invention. In addition, the retained dose can be improved by generating waveforms with the RF source 130 with multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148. Furthermore, knock-on implants can be enhanced by generating waveforms with the RF source 130 with multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148. These waveforms can also have many different duty cycles.

It should be understood that the methods for charge neutralization according to the present invention can be used with numerous other types of plasma doping apparatus. For example, the methods for charge neutralization can be used with plasma doping apparatus that have inductively coupled plasma (ICP) sources, helicon resonator plasma sources, microwave plasma sources, ECR plasma source, and capacitive coupled plasma sources. In fact, any type of plasma source that can be operated in a pulsed mode can be used to perform the methods of the present invention.

EQUIVALENTS

While the present teachings are described in conjunction with various embodiments and examples, it is not intended that the present teachings be limited to such embodiments. On the contrary, the present teachings encompass various alternatives, modifications and equivalents, as will be appreciated by those of skill in the art, may be made therein without departing from the spirit and scope of the invention.

Claims

1. An apparatus comprising:

a processing chamber;
a plasma source proximate to the processing chamber;
an RF power unit configured to output to the plasma source an RF waveform having a first power level during a first period and a second power level during a second period, the first power level being less than the second power level, the plasma source being configured to generate first plasma during the first period and second plasma during the second period;
a substrate being supported by a platen; and
a bias voltage unit being configured to output to the substrate a bias voltage waveform having a first voltage during the first period and a second voltage, the first voltage having more negative potential than the second voltage.

2. The apparatus of claim 1, wherein the bias voltage unit being further configured to output the first voltage during the second period.

3. The apparatus of claim 1, wherein the bias voltage unit being further configured to output the second voltage during the first period.

4. The apparatus of claim 1,

wherein the bias voltage waveform includes a first bias voltage pulse width and a second bias voltage pulse width, the first voltage width extending from the first period to second periods.

5. The apparatus of claim 4, wherein the second voltage width extends from the first period to the second period.

6. The apparatus of claim 1, wherein the second plasma has higher plasma density than the first plasma.

7. The apparatus of claim 6, wherein each of the first and second plasma comprises ions and electrons.

8. The apparatus of claim 7, wherein number of ions and electrons of the second plasma is greater than number of ions and electrons of the first plasma.

9. The apparatus of claim 7, wherein ions of the first plasma are attracted to the substrate applied with first voltage and electrons of the second plasma are attracted to the substrate applied with second voltage.

10. The apparatus of claim 1, wherein each of first plasma and second plasma comprises a plurality of charged particles, and wherein the substrate is treated with the charged particles of the first and second plasma.

11. The apparatus of claim 10, wherein the charged particles of the first and second plasma treating the substrate have opposite charge.

12. A method of processing a substrate comprising:

inputting to a plasma source a current waveform having a first amplitude during a first period and a second amplitude during a second period to generate a first plasma during the first period and a second plasma during the second period, the second amplitude being greater than the first amplitude;
coupling a bias voltage waveform having a first voltage and a second voltage to a substrate, the first voltage having more negative potential than the second voltage; and
synchronizing the current waveform and the bias voltage waveform such that the first voltage is coupled to the substrate during the first period.

13. The method of claim 12, further comprising synchronizing the current waveform and the bias voltage waveform such that the second voltage is coupled to the substrate during the first period.

14. The method of claim 12, wherein the first plasma has lower plasma density than the second plasma.

15. The method of claim 14, further comprising synchronizing the current waveform input and the bias voltage waveform input such that the second voltage is coupled to the substrate during generation of the second plasma.

16. The method of claim 12, wherein each of the first plasma and second plasma comprises ions and electrons.

17. The method of claim 16, further comprising attracting ions of the first plasma to the substrate.

18. The method of claim 17, further comprising attracting electrons of the second plasma to the substrate.

19. A method comprising:

applying current to a plasma source proximate to a processing chamber during a first period to generate a first plasma;
increasing the amplitude of the current applied to the plasma source during a second period to generate a second plasma; and
coupling a first voltage of a voltage waveform having the first voltage and a second voltage to a substrate, the first voltage having greater negative potential than the second voltage.

20. The method of claim 19, wherein the first voltage is coupled to the substrate during the first period.

21. The method of claim 19, wherein the first voltage is coupled to the substrate during the first period.

22. The method of claim 20, further comprising applying the second voltage to the substrate during the second period.

23. The method of claim 19, further comprising coupling the first voltage to the substrate during the first and second periods.

24. The method of claim 19, wherein the first plasma has higher plasma density that the second plasma.

25. The method of claim 18, further comprising:

attracting ions of the first plasma to the substrate and
attracting electrons of the second plasma to the substrate.
Patent History
Publication number: 20090001890
Type: Application
Filed: Apr 7, 2008
Publication Date: Jan 1, 2009
Applicant: Varian Semiconductor Equipment Associates, Inc. (Gloucester, MA)
Inventors: Vikram Singh (North Andover, MA), Timothy J. Miller (Ipswich, MA), Bernard G. Lindsay (Danvers, MA)
Application Number: 12/098,781
Classifications
Current U.S. Class: Plasma Generating (315/111.21)
International Classification: H05H 1/46 (20060101);