Rotary Patents (Class 118/730)
  • Patent number: 11862435
    Abstract: A system includes an electrode. The electrode includes a showerhead having a first stem portion and a head portion. A plurality of dielectric layers is vertically stacked between the electrode and a first surface of a conducting structure. The plurality of dielectric layers includes M dielectric layers arranged adjacent to the head portion and P dielectric portions arranged around the first stem portion. The plurality of dielectric layers defines a first gap between the electrode and one of the plurality of dielectric layers, a second gap between adjacent ones of the plurality of dielectric layers, and a third gap between a last one of the plurality of dielectric layers and the first surface. A number of the plurality of dielectric layers and sizes of the first gap, the second gap, and the third gap are selected to prevent parasitic plasma between the first surface and the electrode.
    Type: Grant
    Filed: March 31, 2023
    Date of Patent: January 2, 2024
    Assignee: Lam Research Corporation
    Inventors: Douglas Keil, Edward J. Augustyniak, Karl Frederick Leeser, Mohamed Sabri
  • Patent number: 11798825
    Abstract: Apparatus and methods of processing a substrate in a carousel processing chamber are described. A wafer pedestal has a support surface with a support shaft extending below the wafer pedestal. A roller pinion wheel is below the wafer support around the support shaft. The roller pinion wheel has a plurality of spokes in contact with the support shaft and a wheel with a plurality of roller pinions spaced around the outer periphery of the wheel. Processing chambers incorporating the wafer pedestal and processing methods using the wafer pedestal for in-situ rotation are also described.
    Type: Grant
    Filed: April 27, 2019
    Date of Patent: October 24, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph Yudovsky, Alexander S. Polyak
  • Patent number: 11715632
    Abstract: A reaction chamber includes an upper electrode device and a lower electrode device. The lower electrode device is disposed in the reaction chamber for carrying a workpiece to-be-processed. The upper electrode device includes a dielectric cylinder, a coil, an upper power source, an upper electrode plate, a first switch, and a second switch. The dielectric cylinder has a hollow cylindrical structure and is disposed at an upper portion of a chamber wall of the reaction chamber. The coil is arranged around the dielectric cylinder. The upper electrode plate is located above the lower electrode device. The first switch can selectively electively connect the upper power source to a first terminal of the coil or to the upper electrode plate. The second switch can selectively electrically connect a second terminal of the coil to the ground or to the upper electrode plate.
    Type: Grant
    Filed: November 8, 2018
    Date of Patent: August 1, 2023
    Assignee: BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD.
    Inventor: Xingcun Li
  • Patent number: 11643718
    Abstract: A module for operating a carrier of one or more substrates to be treated in a vacuum deposition method includes a frame provided with a plate receiving, on a first side, an electronic assembly comprising radio transmitter/receiver electronics, a processor card, motor controller electronics and a battery for supplying power to the module. The processor card has a program memory with a program for controlling the motor controller electronics according to data received from a remote apparatus provided with a radio transmitting/receiving device for communicating with the module's radio transmitter/receiver electronics and, on a second side, a device for operating the carrier, which device is provided with a first motor for rotating the carrier about a first axis parallel to the plate and with a second motor for rotating the carrier about a second axis perpendicular to the plate.
    Type: Grant
    Filed: October 27, 2020
    Date of Patent: May 9, 2023
    Assignee: SAFRAN ELECTRONICS & DEFENSE
    Inventors: Maxime Parailloux, Joël Fleury, Cyril Dupeyrat
  • Patent number: 11600507
    Abstract: A pedestal assembly for a processing region and comprising first pins coupled to a substrate support, configured to mate with first terminals of an electrostatic chuck, and are configured to be coupled to a first power source. Each of the first pins comprises an interface element, and a compliance element supporting the interface element. Second pins are coupled to the substrate support, configured to mate with second terminals of the electrostatic chuck, and configured to couple to a second power source. Alignment elements are coupled to the substrate support and are configured to interface with centering elements of the electrostatic chuck. The flexible element is coupled to the substrate support, configured to interface with a passageway of the electrostatic chuck, and configured to be coupled to a gas source.
    Type: Grant
    Filed: September 9, 2020
    Date of Patent: March 7, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bhaskar Prasad, Kirankumar Neelasandra Savandaiah, Srinivasa Rao Yedla, Nitin Bharadwaj Satyavolu, Thomas Brezoczky
  • Patent number: 11456307
    Abstract: A flash memory is provided and includes a substrate including a memory cell region; a memory transistor array including memory transistors and selecting transistors in the memory cell region; a functional layer covering outer surfaces of the memory transistors and selecting transistors, as well as surfaces of the substrate between adjacent memory transistors and selecting transistors; a dielectric layer covering top surfaces of the memory transistors and selecting transistors and fills gaps between each selecting transistor and a corresponding adjacent memory transistor; and air gaps formed between adjacent memory transistors. Each selecting transistor is used for selecting one column of memory transistors in the memory transistor array. The functional layer has a roughened surface capable of absorbing water. The air gaps in the flash memory are water vapor induced air gaps.
    Type: Grant
    Filed: July 31, 2019
    Date of Patent: September 27, 2022
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Liang Chen, Shengfen Chiu
  • Patent number: 11441236
    Abstract: Chamber components for an epitaxial growth apparatus are disclosed. A reaction chamber defined and formed by a ceiling plate. A reactant gas is rectified in a reactant gas supply path disposed in the side wall, so that a horizontal component in a flow direction of the reactant gas in the reaction chamber corresponds to a horizontal component in a direction extending from the center of an opening of the reactant gas supply path. Improvements to the upper side wall, susceptor and rectification plate of the epitaxial growth apparatus have resulted in improvements to the uniformity and formation speed of the epitaxial layer formed on substrates resulting in higher throughput and lower defects.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: September 13, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Oki, Yoshinobu Mori
  • Patent number: 11404939
    Abstract: A transport apparatus comprising a housing, a variable reluctance drive mounted to the housing, and at least one transport arm connected to the variable reluctance drive where the drive includes at least one rotor having salient poles of magnetic permeable material and disposed in an isolated environment, at least one stator having salient pole structures each defining a salient pole with corresponding coil units coiled around the respective salient pole structure and disposed outside the isolated environment where the at least one salient pole of the at least one stator and the at least one salient pole of the rotor form a closed magnetic flux circuit between the at least one rotor and the at least one stator, at least one seal partition configured to isolate the isolated environment; and at least one sensor including a magnetic sensor member connected to the housing, at least one sensor track connected to the at least one rotor, where the at least one seal partition is disposed between and separates the mag
    Type: Grant
    Filed: April 2, 2020
    Date of Patent: August 2, 2022
    Assignee: Brooks Automation, US LLC
    Inventors: Jairo T. Moura, Reza Saeidpourazar, Branden Gunn, Matthew W. Coady, Ulysses Gilchrist
  • Patent number: 11361984
    Abstract: A substrate processing apparatus may include a first disk provided in a chamber and configured to perform a turning motion and to include a plurality of seating holes periodically arranged within a specific radius from a center axis, a plurality of second disks provided in the seating holes, respectively, and configured to perform a revolving and rotating motion in accordance with the turning motion of the first disk, a first rotary connector structure provided between the second disk and the seating hole to allow for a rotating motion of and for an electric connection to the second disk, an electrostatic chuck provided on the second disk and configured to hold a substrate using an electric power supplied through the first rotary connector structure, and a first magnetic gear fixed to the second disk and configured to exert a torque on the second disk, and a second magnetic gear.
    Type: Grant
    Filed: August 22, 2017
    Date of Patent: June 14, 2022
    Inventors: Seung Chul Shin, Jin Hyuk Yoo, Min Su Lee, Dong Hwan Choi
  • Patent number: 11358168
    Abstract: A coating apparatus includes a process chamber, a rotation device, and a rotation holder. The rotation device is disposed in the process chamber. The rotation holder is connected to the rotation device. The rotation holder includes two extension elements, two retaining elements, and two pins. The two extension elements are disposed around a center axis and separated from each other, wherein each of the two extension elements has a side surface. Each of the two retaining elements has a bottom surface, one of the two retaining elements is connected to one of the side surfaces, and the other of the two retaining elements is connected to the other of the side surfaces. One of the two pins is connected to one of the bottom surfaces, and the other of the two pins is connected to the other of the bottom surfaces.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: June 14, 2022
    Assignee: VISERA TECHNOLOGIES COMPANY LIMITED
    Inventors: Shao-Wei Ma, Chang-Wei Chen
  • Patent number: 11131038
    Abstract: An apparatus for physical vapor transport growth of semiconductor crystals having a cylindrical vacuum enclosure defining an axis of symmetry; a reaction-cell support for supporting a reaction cell inside the vacuum enclosure; a cylindrical reaction cell made of material that is transparent to RF energy and having a height Hcell defined along the axis of symmetry; an RF coil provided around exterior of the vacuum enclosure and axially centered about the axis of symmetry, wherein the RF coil is configured to generate a uniform RF field along at least the height Hcell; and, an insulation configured for generating thermal gradient inside the reaction cell along the axis of symmetry. The ratio of height of the RF induction coil, measured along the axis of symmetry, to the height Hcell may range from 2.5 to 4.0 or from 2.8 to 4.0.
    Type: Grant
    Filed: July 5, 2019
    Date of Patent: September 28, 2021
    Assignee: SK SILTRON CSS, LLC
    Inventor: Mark Loboda
  • Patent number: 11114329
    Abstract: Implementations of methods of loading an evaporator may include, using a robotic arm, removing a substrate from a cassette and centering the substrate on a substrate aligner. The method may include aligning the substrate using the substrate aligner. The substrate may also include removing the substrate from the substrate aligner using the robotic arm and loading the substrate into a first available pocket of a planet of an evaporator using the robotic arm. The method may also include rotating the planet to a second available pocket after detecting a presence of the substrate in the first available pocket.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: September 7, 2021
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Michael J. Seddon, Heng Chen Lee
  • Patent number: 11098405
    Abstract: A shower head includes a face plate having an outer peripheral portion and a plurality of gas injection holes disposed inside the outer peripheral portion, a movable portion facing the face plate and having a gas introduction passage, and a seal interposed between the outer peripheral portion of the face plate and the movable portion. The movable portion is arranged to move, in the first direction, between a first position in which the movable portion is coupled to the face plate by interposing the seal between the movable portion and the face plate, and the gas introduction passage communicates with the inside of the chamber via the gas injection holes, and a second position in which the movable portion is separated from the face plate, and the gas introduction passage communicates with the inside of the chamber via a gap between the movable portion and the face plate.
    Type: Grant
    Filed: February 22, 2018
    Date of Patent: August 24, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Hideaki Masuda, Nobuhide Yamada, Rikyu Ikariyama
  • Patent number: 11081405
    Abstract: Methods are described that include providing a laser-based measurement tool. An implement of a semiconductor fabrication process tool (e.g., susceptor) is delivered to the laser-based measurement tool where a plurality of measurements is performed of a surface of the implement using a blue wavelength radiation. The measurements are of a distance (e.g., angstroms) from a reference plane and provide an indication of the profile of the surface of the susceptor. As the surface profile of the susceptor can affect layers deposited on target substrates using the susceptor, the measurements provide for a disposition of the susceptor.
    Type: Grant
    Filed: April 26, 2019
    Date of Patent: August 3, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Wei Hung, Charng-Long Lu, Chien-Feng Lin
  • Patent number: 11014853
    Abstract: A chamber component for a processing chamber comprises a ceramic body consisting of a sintered ceramic material consisting essentially of one or more phase of Y2O3—ZrO2. The ceramic material consists essentially of 55-65 mol % Y2O3 and 35-45 mol % ZrO2.
    Type: Grant
    Filed: February 19, 2019
    Date of Patent: May 25, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jennifer Y. Sun, David Fenwick
  • Patent number: 10998220
    Abstract: The substrate holding/rotating device includes a plurality of movable pins each having a support portion in contact with a peripheral edge portion of the substrate to support the substrate, and a rotation unit which rotates the plurality of movable pins around the rotation axis, a support portion of each of the movable pins included in a first pin group is disposed so as to move between a first hold position included hold positions, the first hold position close to a rotation axis and a second hold position included the hold positions, the second hold position far apart from the first hold position to one in a circumferential direction and also so as to move between the first and second hold positions and an open position far apart from the rotation axis.
    Type: Grant
    Filed: February 22, 2018
    Date of Patent: May 4, 2021
    Inventors: Hiroaki Ishii, Ryo Muramoto
  • Patent number: 10844491
    Abstract: A substrate processing system may include a process chamber in which a process on a substrate is performed, a supporting unit in the process chamber to support the substrate, a gas supply unit including a gas supply part with gas supply holes, with the gas supply holes being configured to supply a process gas onto the substrate, and an exhaust unit configured to exhaust the process gas from the process chamber. The gas supply part may include a gas supply region provided with the gas supply holes and a gas diffusion region between the gas supply region and the exhaust unit. The gas diffusion region may be free of the gas supply holes.
    Type: Grant
    Filed: October 10, 2016
    Date of Patent: November 24, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sukjin Chung, JongCheol Lee, MinHwa Jung, Jaechul Shin, In-Sun Yi, Geunkyu Choi, Jungil Ahn, Seung Han Lee, Jin Pil Heo
  • Patent number: 10818534
    Abstract: An embodiment of a substrate treatment device may comprise: a disk provided to be able to rotate; at least one susceptor arranged on the disk, a substrate being seated on the upper surface of the susceptor, the susceptor rotating, as the disk rotates, and revolving about the center of the disk as the axis; a metal ring coupled to the lower portion of the susceptor and arranged such that the center of the metal ring coincides with the center of the susceptor, and a magnet arranged radially on the lower portion of the disk with reference to the center of the disk and provided such that at least a part of the magnet faces the metal ring in the up/down direction.
    Type: Grant
    Filed: January 31, 2019
    Date of Patent: October 27, 2020
    Assignee: JUSUNG ENGINEERING CO., LTD.
    Inventors: Ki Bum Kim, Seung Youb Sa, Ram Woo, Myung Jin Lee, Seung Dae Choi, Jong Sung Choi, Ho Boem Her
  • Patent number: 10811245
    Abstract: The process for manufacturing a silicon wafer includes steps for mounting a float zone silicon work piece for exfoliation, energizing a microwave device for generating an energized beam sufficient for penetrating an outer surface layer of the float zone silicon work piece, exfoliating the outer surface layer of the float zone silicon work piece with the energized beam, and removing the exfoliated outer surface layer from the float zone silicon work piece as the silicon wafer having a thickness less than 100 micrometers.
    Type: Grant
    Filed: November 17, 2016
    Date of Patent: October 20, 2020
    Assignee: Rayton Solar Inc.
    Inventors: Andrew X. Yakub, James Benjamin Rosenzweig, Mark Stanley Goorsky
  • Patent number: 10752993
    Abstract: Provided is a substrate processing apparatus and substrate processing method for depositing a thin film on a substrate. The substrate processing apparatus may include a chamber, a susceptor rotatably mounted in the chamber, at least one satellite mounted on the susceptor, configured to place a substrate thereon, and capable of being floated and rotating due to pressure of a gas supplied through the susceptor, to rotate the substrate, and of revolving due to rotation of the susceptor, and a cart lifting module including a cart mounted on the susceptor around the satellite and supporting an edge of the substrate to take over the substrate and place the substrate on the satellite, and a cart lifting device capable of lifting and lowering the cart.
    Type: Grant
    Filed: October 17, 2017
    Date of Patent: August 25, 2020
    Assignee: WONIK IPS CO., LTD.
    Inventors: Wook Sang Jang, Sang Jun Park, Ho Young Lee
  • Patent number: 10731253
    Abstract: A gas injector used for semiconductor equipment includes a housing shell, a rotating main shaft and a gas output distribution unit. The rotating main shaft is covered with the housing shell, and includes a plurality of magnetic fluid seals and a plurality of gas transmission tubes. The gas output distribution unit is coupled to a top end of the rotating main shaft, the gas output distribution unit being connected to a ceiling and a susceptor. The gas output distribution unit includes a plurality of boards spaced at intervals between the ceiling and the susceptor, thereby resulting in a plurality of gas output layers for outputting corresponding reaction gases.
    Type: Grant
    Filed: January 15, 2018
    Date of Patent: August 4, 2020
    Assignee: Hermes-Epitek Corporation
    Inventors: Tsan-Hua Huang, Kian-Poh Wong, Chia-Ying Lin
  • Patent number: 10720312
    Abstract: A substrate processing apparatus includes: a substrate holder to vertically load a plurality of substrates in multiple stages with an interval therebetween and including a plurality of partition plates vertically partitioning a region where the plurality of substrates are loaded; a process chamber to receive the substrate holder therein; protrusions protruding inward toward the outer circumferential surfaces of the partition plates from an inner circumferential wall surface within the process chamber, which faces the outer circumferential surfaces of the partition plates, to form clearances between inner circumferential surfaces formed on the protruding tip ends of the protrusions and the outer circumferential surfaces of the partition plates; and a gas supply part to supply inert gas into the clearances, which are formed between the inner circumferential surfaces of the protrusions and the outer circumferential surfaces of the partition plates, to form positive-pressure sections subjected to a pressure highe
    Type: Grant
    Filed: March 27, 2017
    Date of Patent: July 21, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiromi Nitadori, Satoru Koike
  • Patent number: 10718052
    Abstract: A rotating disk reactor for chemical vapor deposition includes a vacuum chamber and a ferrofluid feedthrough comprising an upper and a lower ferrofluid seal that passes a motor shaft into the vacuum chamber. A motor is coupled to the motor shaft and is positioned in an atmospheric region between the upper and the lower ferrofluid seal. A turntable is positioned in the vacuum chamber and is coupled to the motor shaft so that the motor rotates the turntable at a desired rotation rate. A dielectric support is coupled to the turntable so that the turntable rotates the dielectric support when driven by the shaft. A substrate carrier is positioned on the dielectric support in the vacuum chamber for chemical vapor deposition processing. A heater is positioned proximate to the substrate carrier that controls the temperature of the substrate carrier to a desired temperature for chemical vapor deposition.
    Type: Grant
    Filed: December 16, 2016
    Date of Patent: July 21, 2020
    Assignee: Veeco Instruments, Inc.
    Inventors: Louise S. Barriss, Richard A. Comunale, Roger P. Fremgen, Alexander I. Gurary, Todd A. Luse, Robert White Milgate, John D. Pollock
  • Patent number: 10683573
    Abstract: A film forming apparatus of forming a film by supplying a process gas onto a substrate includes a rotation table having a loading region and is configured to revolve the substrate loaded on the loading region; a process gas supply mechanism configured to supply the process gas to a gas supply region to perform film formation on the substrate repeatedly passing through the gas supply region a plurality of times by revolution of the substrate; a first gear disposed on the other surface side of the rotation table and rotated in a rotation direction of the rotation table; a second gear configured with planetary gears engaging with the first gear, disposed to be revolved together with the loading region, and configured to rotate the loading region so as to allow the substrate to be rotated.
    Type: Grant
    Filed: November 10, 2015
    Date of Patent: June 16, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hitoshi Kato, Shigehiro Miura, Hiroyuki Kikuchi, Katsuyoshi Aikawa
  • Patent number: 10522387
    Abstract: An embodiment is an apparatus. The apparatus includes: a collective wafer platter including a plurality of individual wafer pockets, the individual wafer pockets having respective individual wafer platters, the individual wafer platters configured to rotate around respective first axes, the collective wafer platter configured to rotate around a second axis; a motor coupled to the collective wafer platter; and a control unit configured to control the motor such that the individual wafer platters rotate around the respective first axes, and the collective wafer platter rotates around the second axis.
    Type: Grant
    Filed: September 1, 2017
    Date of Patent: December 31, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yung-Chang Chang
  • Patent number: 10507488
    Abstract: A deposition apparatus includes a dome rotatable around the central axis; a loop chain surrounding the central axis on the dome; a power transmission shaft transmitting rotational motion of the dome; a first gear section transforming the rotational motion of the dome to rotational motion of the shaft; a second gear section provided with a chain-driving sprocket and configured to transform the rotational motion of the shaft to rotational motion of the sprocket; and a tray holder located beside the loop chain, the tray holder including a first internal power transmission shaft and a rotating portion holding a tray. The sprocket is rotated through the rotation of the dome to drive the loop chain, the first internal power transmission shaft of the tray holder is rotated by motion of the loop chain, and the rotating portion is rotated through rotation of the first internal power transmission shaft.
    Type: Grant
    Filed: April 11, 2016
    Date of Patent: December 17, 2019
    Assignee: NALUX CO., LTD.
    Inventors: Toshiro Higuchi, Hiroshi Ueno, Hitoshi Kimura
  • Patent number: 10508362
    Abstract: A substrate mounting member according to the present invention is a member for mounting a SiC substrate for epitaxial growth, which includes a wafer plate including a SiC polycrystal, and a supporting plate configured to be placed on the wafer plate, include no SiC polycrystal and have a surface serving as a SiC substrate placing surface, the surface being on the side opposite to a surface in contact with the wafer plate, and in which a thickness h [mm] of the supporting plate satisfies an expression h4?3 pa4(1?v2){(5+v)/(1+v)}/16E when a force applied to a unit area of the supporting plate by a self-weight of the supporting plate and by the SiC substrate is represented as p [N/mm2], a radius of the supporting plate as a [mm], a Poisson's ratio as v and a Young's modulus as E [MPa].
    Type: Grant
    Filed: November 22, 2016
    Date of Patent: December 17, 2019
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kenichi Hamano, Hiroaki Sumitani
  • Patent number: 10262888
    Abstract: Apparatus and method for processing a plurality of substrates in a batch processing chamber are described. The apparatus comprises a susceptor assembly, a lift assembly and a rotation assembly. The susceptor assembly has a top surface and a bottom surface with a plurality of recesses in the top surface. Each of the recesses has a lift pocket in the recess bottom. The lift assembly including a lift plate having a top surface to contact the substrate. The lift plate is connected to a lift shaft that extends through the susceptor assembly and connects to a lift friction pad. The rotation assembly has a rotation friction pad that contacts the lift friction pad. The rotation friction pad is connected to a rotation shaft and can be vertically aligned with the lift friction pad.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: April 16, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Kaushal Gangakhedkar, Joseph Yudovsky
  • Patent number: 10216229
    Abstract: Provided is a method of manufacturing a flexible display apparatus. The method includes: arranging a film over a window having a three-dimensional curved surface shape, the film being flexible; arranging a plurality of pressure balls over the film; and pressing the plurality of pressure balls towards the window by using a pressure plate such that the film is closely adhered onto the window according to the three-dimensional curved surface shape of the window.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: February 26, 2019
    Assignee: Samsung Display Co., Ltd.
    Inventors: Gunmo Kim, Wonsang Park, Jongsung Bae
  • Patent number: 9976216
    Abstract: A thin film deposition system for depositing a thin film on a moveable substrate using atmospheric pressure atomic-layer deposition includes a chamber and a moveable substrate having a levitation stabilizing structure located on the moveable substrate that defines an enclosed interior impingement area of the moveable substrate. A stationary support, located in the chamber, supports the moveable substrate. The stationary support extends beyond the enclosed interior impingement area. A pressurized-fluid source provides a fluid flow through the stationary support that impinges on the moveable substrate within the enclosed interior impingement area of the moveable substrate sufficient to levitate the moveable substrate and expose the moveable substrate to the fluid while restricting the lateral motion of the moveable substrate with the levitation stabilizing structure.
    Type: Grant
    Filed: September 8, 2016
    Date of Patent: May 22, 2018
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Kurt D. Sieber, Kam Chuen Ng, Ronald Steven Cok
  • Patent number: 9929304
    Abstract: An apparatus for forming a solar cell includes a housing defining a vacuum chamber, a rotatable substrate support, at least one inner heater and at least one outer heater. The substrate support is inside the vacuum chamber configured to hold a substrate. The at least one inner heater is between a center of the vacuum chamber and the substrate support, and is configured to heat a back surface of a substrate on the substrate support. The at least one outer heater is between an outer surface of the vacuum chamber and the substrate support, and is configured to heat a front surface of a substrate on the substrate support.
    Type: Grant
    Filed: April 14, 2015
    Date of Patent: March 27, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Edward Teng, Ying-Chen Chao, Chih-Jen Yang
  • Patent number: 9920418
    Abstract: A physical vapor deposition (PVD) apparatus having a deposition chamber and a source chamber of minimal volume, which can be selectively isolated from each other by a various load-lock valves. Exemplary embodiments can include a tapered high vacuum chamber disposed between the deposition chamber and the source chamber. The pump down time of the apparatus can be reduced, as compared to conventional PVD systems, due to the combined reduced volume of the chambers. Coating uniformity can also be improved by spinning each part to be coated on its' own axis while selectively exposing to a deposition source with minimal particle generation.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: March 20, 2018
    Inventor: James Stabile
  • Patent number: 9637822
    Abstract: A susceptor apparatus for use in a CVD reactor includes a main platter with a central gear. The main platter has opposite first and second sides, a central recess formed in the second side, and a plurality of circumferentially spaced-apart pockets formed in the first side. The central gear is positioned within the central recess and the satellite platters are individually rotatable within the respective pockets. Each pocket has a peripheral wall with an opening in communication with the central recess. The central gear teeth extend into each of the pockets via the respective wall openings and engage a planet gear associated with each satellite platter. Rotation of the main platter about its rotational axis causes the satellite platters to rotate about their individual rotational axes.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: May 2, 2017
    Assignee: Cree, Inc.
    Inventors: Michael John Bergmann, David Todd Emerson, David Dean Seibel
  • Patent number: 9598767
    Abstract: A gas processing apparatus includes a process chamber for installing a process target therein, a through-hole being air-tightly in communication with a gas supply pipe, an injector for supplying gas into the process chamber, a sleeve being engaged to an outer peripheral surface of the injector inside the through-hole, an annular sealing member engaged to the outer peripheral surface of the injector, an engagement surface facing the sealing member, and a pressing part for pressing the sleeve toward the outer side of the process chamber. The pressing part compresses the sealing member by exerting pressure to the engagement surface from an end surface of the sleeve toward the sealing member, so that an inside of the injector and an outside of the injector are air-tightly sealed.
    Type: Grant
    Filed: June 12, 2014
    Date of Patent: March 21, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Manabu Honma
  • Patent number: 9382642
    Abstract: The present invention relates to a reaction chamber of an epitaxial reactor that essentially consists of a quartz piece; the quartz piece comprises a quartz piece portion (1) having an internal cavity (2) defined by walls (1A, 1B, 1C, 1D); the cavity (2) comprises a reaction and deposition zone (3) of the epitaxial reactor; the zone (3) is adapted to house a susceptor (4) to be heated therein; the reaction chamber also comprises a quartz component (5) arranged close to said walls (1A, 1B, 1C, 1D) in such a manner as to form a counterwall and to be a wall of said zone (3).
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: July 5, 2016
    Assignee: LPE S.P.A.
    Inventors: Srinivas Yarlagadda, Natale Speciale, Franco Preti, Mario Preti
  • Patent number: 9378992
    Abstract: An ion implantation system has an ion implantation apparatus coupled to first and second dual load lock assemblies, each having a respective first and second chamber separated by a common wall. Each first chamber has a pre-heat apparatus configured to heat a workpiece to a first temperature. Each second chamber has a post-cool apparatus configured to cool the workpiece to a second temperature. A thermal chuck retains the workpiece in a process chamber for ion implantation, and the thermal chuck is configured to heat the workpiece to a third temperature. A pump and vent are in selective fluid communication with the first and second chambers.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: June 28, 2016
    Assignee: Axcelis Technologies, Inc.
    Inventors: Armin Huseinovic, Joseph Ferrara, Brian Terry
  • Patent number: 9368341
    Abstract: A method of manufacturing a silicon oxide film by using a film deposition apparatus is provided. The apparatus includes a turntable including a substrate receiving part on its upper surface, a first gas supply part to supply a first gas to the turntable in a first process area, and a second gas supply part arranged in a second process area apart from the first process area to supply a second gas. In the method, a silicon-containing gas is supplied from the first gas supply part as the first gas. A hydrogen gas and an oxidation gas are supplied from the second gas supply part as the second gas. The first gas is caused to adsorb on the substrate in the first process area, and the second gas is caused to react with the first gas adsorbed on the substrate in the second process area while rotating the turntable.
    Type: Grant
    Filed: September 9, 2014
    Date of Patent: June 14, 2016
    Assignee: Tokyo Electron Limited
    Inventors: Tatsuya Tamura, Takeshi Kumagai
  • Patent number: 9340875
    Abstract: A reaction device for chemical vapor deposition is disclosed. The reaction device includes a chamber, a susceptor, an inlet pipe unit and an outlet pipe. The susceptor is disposed within the chamber. The inlet pipe unit includes a plurality of feeding openings horizontally facing the peripheral area of the susceptor to input at least one reaction gas into the chamber. The at least one reaction gas is guided to move from the peripheral area of the susceptor and along a surface of the susceptor to reach the center of the susceptor. The outlet pipe includes a discharge opening whose position is corresponding to the center of the susceptor so as to discharge the reaction gas flowing to the center of the susceptor out of the chamber.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: May 17, 2016
    Assignee: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Yi-Tsung Pan, Mu-Jen Young
  • Patent number: 9302358
    Abstract: Chamber elements defining a chamber include a first element having a first surface, a second element, a first dynamic seal and load mechanism. The second element includes an outer floating element that includes a second surface about the periphery of the chamber, and an inner floating element. The second surface and the first surface are maintained proximate to each other when the chamber is in a load position and when the chamber is closed. The load mechanism may move the inner floating element from the outer floating element until a gap between the inner floating element and the second element to facilitate loading of the device to the chamber. A movement system may generate relative movement between the first element and the second element.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: April 5, 2016
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Igor Krayvitz (Krivts), Israel Avneri, Yoram Uziel, Natan Schlimoff, Gilad Schwartz, Yochanan Madmon
  • Patent number: 9303318
    Abstract: In one embodiment, an apparatus includes a first gas distribution assembly that includes a first gas passage for introducing a first process gas into a second gas passage that introduces the first process gas into a processing chamber and a second gas distribution assembly that includes a third gas passage for introducing a second process gas into a fourth gas passage that introduces the second process gas into the processing chamber. The first and second gas distribution assemblies are each adapted to be coupled to at least one chamber wall of the processing chamber. The first gas passage is shaped as a first ring positioned within the processing chamber above the second gas passage that is shaped as a second ring positioned within the processing chamber. The gas distribution assemblies may be designed to have complementary characteristic radial film growth rate profiles.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: April 5, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Tuoh-Bin Ng, Yuriy Melnik, Lily L Pang, Eda Tuncel, Lu Chen, Son T Nguyen
  • Patent number: 9297070
    Abstract: The invention relates to a CVD-reactor for depositing layers made of a reaction gas onto workpieces. Said reactor comprises an elongate, vertical reaction chamber that is defined by a reactor wall and a reactor base, an inlet line for guiding the reaction gas into the reaction chamber, entering into the region of the reactor base in the reaction chamber, a central outlet line that guides the used reaction gas out of the reaction chamber and that extends out of the reactor chamber in the region of the reactor base, a tier-like workpiece receiving element that is arranged in a central manner in the reaction chamber and can be rotated about the central axis thereof.
    Type: Grant
    Filed: July 21, 2009
    Date of Patent: March 29, 2016
    Assignee: IHI Ionbond AG
    Inventors: Michael Auger, Renato Bonetti, Hristo Strakov
  • Patent number: 9230846
    Abstract: Wafer carriers and methods for moving wafers in a reactor. The wafer carrier may include a platen with a plurality of compartments and a plurality of wafer platforms. The platen is configured to rotate about a first axis. Each of the wafer platforms is associated with one of the compartments and is configured to rotate about a respective second axis relative to the respective compartment. The platen and the wafer platforms rotate with different angular velocities to create planetary motion therebetween. The method may include rotating a platen about a first axis of rotation. The method further includes rotating each of a plurality of wafer platforms carried on the platen and carrying the wafers about a respective second axis of rotation and with a different angular velocity than the platen to create planetary motion therebetween.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: January 5, 2016
    Assignee: Veeco Instruments, Inc.
    Inventors: Adrian Celaru, Todd A. Luse, Ajit P. Paranjpe, Joseph Scandariato, Qingfu Tang
  • Patent number: 9186742
    Abstract: A brazing process and assembly utilizing microwave radiation and a plasma generator that is heated by microwave radiation and generates a localized plasma capable of selectively heating and melting a braze alloy. The plasma generator contains a microwave-susceptible material that is susceptible to heating by microwave radiation, and a plasma-generating material capable of volatilizing and generating the plasma when the plasma generator is subjected to heating and microwave radiation. The brazing process includes applying a braze material to a surface of a substrate, positioning the plasma generator in proximity to the braze material, and then subjecting the plasma generator to microwave radiation to volatilize the plasma-generating material and generate a plasma that melts the braze alloy within the braze material.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: November 17, 2015
    Assignee: General Electric Company
    Inventors: Laurent Cretegny, Jeffrey Jon Schoonover
  • Patent number: 9163308
    Abstract: Light reactive deposition uses an intense light beam to form particles that are directly coated onto a substrate surface. In some embodiments, a coating apparatus comprising a noncircular reactant inlet, optical elements forming a light path, a first substrate, and a motor connected to the apparatus. The reactant inlet defines a reactant stream path. The light path intersects the reactant stream path at a reaction zone with a product stream path continuing from the reaction zone. The substrate intersects the product stream path. Also, operation of the motor moves the first substrate relative to the product stream. Various broad methods are described for using light driven chemical reactions to produce efficiently highly uniform coatings.
    Type: Grant
    Filed: July 30, 2009
    Date of Patent: October 20, 2015
    Assignee: NanoGram Corporation
    Inventors: Xiangxin Bi, Ronald J. Mosso, Shivkumar Chiruvolu, Sujeet Kumar, James T. Gardner, Seung M. Lim, William E. McGovern
  • Patent number: 9123765
    Abstract: Embodiments of the invention generally relate to susceptor support shafts and process chambers containing the same. A susceptor support shaft supports a susceptor thereon, which in turn, supports a substrate during processing. The susceptor support shaft reduces variations in temperature measurement of the susceptor and/or substrate by providing a consistent path for a pyrometer focal beam directed towards the susceptor and/or substrate, even when the susceptor support shaft is rotated. The susceptor support shafts also have a relatively low thermal mass which increases the ramp up and ramp down rates of a process chamber.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: September 1, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mehmet Tugrul Samir, Shu-Kwan Lau
  • Publication number: 20150147889
    Abstract: A substrate processing chamber and methods for processing multiple substrates is provided and generally includes a gas distribution assembly, a susceptor assembly to rotate substrates along a path adjacent each of the gas distribution assembly and a gas diverter to change the angle of gas flow in the processing chamber.
    Type: Application
    Filed: November 18, 2014
    Publication date: May 28, 2015
    Inventors: Joseph Yudovsky, Kevin Griffin
  • Patent number: 9040434
    Abstract: A film deposition method includes a step of condensing hydrogen peroxide on a substrate including a concave portion formed in a surface thereof by supplying a gas containing the hydrogen peroxide, and a step of supplying a silicon-containing gas reactable with the hydrogen peroxide to the substrate having the hydrogen peroxide condensed thereon.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: May 26, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Hitoshi Kato
  • Publication number: 20150136029
    Abstract: A rotary table unit in a film deposition system according to the present invention includes a rotary table and first interchangeable units and second interchangeable units detachably attachable to the rotary table. A workpiece to be mounted on each second interchangeable unit differs in diameter from a workpiece to be mounted on each first interchangeable unit. To keep constant a distance between each of the workpieces of different sizes and a target, a distance between a position, where the workpiece mounted on each first workpiece mount plate faces an evaporation surface of the target, and a rotation center of the rotary table is set equal to a distance between a position, where the workpiece mounted on each second workpiece mount plate faces the evaporation surface of the target, and the rotation center.
    Type: Application
    Filed: October 23, 2014
    Publication date: May 21, 2015
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventor: Hirofumi FUJII
  • Publication number: 20150136028
    Abstract: The present invention relates to a substrate processing apparatus: including a chamber comprising a body having an inner space and a top lid provided on an upper part of the body, the top lid having at least one gas input port; a substrate supporting unit rotatably installed inside the chamber to support a plurality of substrates; and a gas injection device comprising a central injection unit provided on an upper part of the substrate supporting unit to inject a gas into a central region of the substrate supporting unit, a source gas injection unit provided around the central injection unit to inject a source gas into the substrate supporting unit, a reaction gas injection unit provided around the central injection unit to inject a reaction gas into the substrate supporting unit and a purge gas injection unit disposed between the source gas injection unit and the reaction gas injection unit; wherein at least one of the source gas injection unit and the reaction gas injection unit comprises a main injection un
    Type: Application
    Filed: November 21, 2013
    Publication date: May 21, 2015
    Applicant: WONIK IPS CO., LTD.
    Inventors: Young Hoon PARK, Dong Ho RYU, Won Jun YOON
  • Patent number: 9028614
    Abstract: When processing such as SiC epitaxial growth is performed at an ultrahigh temperature of 1500° C. to 1700° C., a film-forming gas can be decreased to heat-resistant temperature of a manifold and film quality uniformity can be improved. A substrate processing apparatus includes a reaction chamber for processing a plurality of substrates, a boat for holding the plurality of substrates, a gas supply nozzle for supplying a film-forming gas to the plurality of substrates, an exhaust port for exhausting the film-forming gas supplied into the reaction chamber, a heat exchange part which defines a second flow path narrower than a first flow path defined by an inner wall of the reaction chamber and the boat, and a gas discharge part installed under the lowermost substrate of the plurality of substrates.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: May 12, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Daisuke Hara, Takeshi Itoh, Masanao Fukuda, Takatomo Yamaguchi, Hiroaki Hiramatsu, Shuhei Saido, Takafumi Sasaki