By Distilling, Or Liquefying Vapors Of, Used Agent Patents (Class 134/12)
  • Patent number: 6105589
    Abstract: An improved method and apparatus are provided for cleaning the specimen and interior specimen chamber of Electron Microscopes, and similar electron beam instruments. The apparatus consists of a glow-discharge, oxygen-radical generator placed on a specimen chamber port with an excitation source to create a low-power glow-discharge plasma inside the generator. Air or other oxygen and nitrogen mixture is admitted to the generator at a pressure between 0.3 Torr and 5 Torr. The low power glow discharge is used to disassociate oxygen preferentially over nitrogen to create the oxygen radicals. The oxygen radicals then disperse by convection throughout the chamber to clean hydrocarbons from the surfaces of the chamber, stage and specimen by oxidation to CO and H.sub.2 O gases. The excitation power of the plasma is limited to limit the nitrogen ion production that destroys the oxygen radicals and to limit the projection of the electrically active plasma into the specimen chamber.
    Type: Grant
    Filed: January 11, 1999
    Date of Patent: August 22, 2000
    Inventor: Ronald A. Vane
  • Patent number: 6106634
    Abstract: The present invention provides methods and apparatus for reducing particulate contamination during the processing of a substrate. In one embodiment, the method includes the step of preheating a substrate in a preheater to a desired temperature. The preheated substrate is transferred from the preheater to a buffer region having a pressure therein that is between about two (2) Torr and about seven hundred and sixty (760) Torr. The preheated substrate is transferred from the buffer region to a reaction chamber. Thermophoretic forces help repel particles away from the substrate surface during substrate transfer.
    Type: Grant
    Filed: February 11, 1999
    Date of Patent: August 22, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Steve G. Ghanayem, Madhavi Chandrachood
  • Patent number: 6105588
    Abstract: A method of resist stripping for use during fabrication of semiconductor devices. A semiconductor substrate with a resist material formed thereon, such as a silicon wafer, is positioned in a sealed chamber in communication with a plasma generating source. A gaseous material which includes a reducing agent is passed through the plasma generating source to produce a plasma stream. The plasma stream is directed at the semiconductor substrate for a predetermined period of time to remove the resist material from the semiconductor substrate. A reducing environment is produced in the sealed chamber by the plasma stream, which can passivate and strip the resist material simultaneously.
    Type: Grant
    Filed: May 27, 1998
    Date of Patent: August 22, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Harlan Frankamp
  • Patent number: 6103107
    Abstract: Ferrofluid coated particles resulting from a ferrofluid materials separation process are washed with a solvent which is the same material as the liquid carrier employed in the ferrofluid. The result is a "dirty" solvent which is a very weak ferrofluid. The dirty solvent is then filtered or centrifuged to remove dust particles and other impurities and then the solvent is recovered by distillation in a distillation unit. The solvent can then be reused in the materials reclamation process. The residue in the distillation unit is surfactant-coated particles of ferrofluid. This residue is mixed with either clean or unprocessed solvent in the right proportion and the slurry is passed through an attritor to convert it to a high grade ferrofluid. The ferrofluid can also be reused in the materials separation process.
    Type: Grant
    Filed: October 22, 1998
    Date of Patent: August 15, 2000
    Assignee: Ferrofluidics Corporation
    Inventor: Kuldip Raj
  • Patent number: 6096645
    Abstract: A method of forming a CVD nitride (e.g., titanium nitride) film on a substrate. The as-deposited nitride film is treated by a plasma of a high power density (preferably between approximately 200 W and 300 W) for a prolonged duration of time (preferably between approximately 32 s and 52 s) to reduce the tendency of the resistance and thickness of the as-deposited film to change because of either time of exposure to atmosphere or subsequent processing steps.
    Type: Grant
    Filed: March 4, 1998
    Date of Patent: August 1, 2000
    Assignee: Mosel Vitelic, Inc.
    Inventors: Yung-Tsun Lo, Hui-lun Chen, Wen-Yu Ho, Sung-chun Hsieh, Feng-hsien Chao
  • Patent number: 6093655
    Abstract: A plasma etching method includes forming polymer material over at least some internal surfaces of a plasma etch chamber and forming polymer material over at least some surfaces of a semiconductor wafer received within the plasma etch chamber. Substantially all polymer material is plasma etched from the chamber internal surfaces while at least some polymer material remains on the wafer. In another aspect, a semiconductor wafer is positioned on a wafer receiver within a plasma etch chamber. A photoresist layer has previously been formed thereon and has openings formed therethrough. First plasma etching is conducted through openings formed in the photoresist layer with a gas comprising carbon and a halogen to form openings in material on the wafer. A first polymer comprising carbon and the halogen forms over at least some internal surfaces of the plasma etch chamber during the first plasma etching.
    Type: Grant
    Filed: February 12, 1998
    Date of Patent: July 25, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Kevin G. Donohoe, Richard L. Stocks
  • Patent number: 6092537
    Abstract: In order to provide a post-treatment method for dry etching which is improved to be capable of completely removing a deposit resulting from dry etching for forming a wire, a workpiece layer is formed on an underlayer oxide film which is formed on a wafer. A resist pattern having a prescribed shape is formed on the workpiece layer. The workpiece layer is dry-etched through the resist pattern serving as a mask. The resist pattern is removed. Ice particles or droplets are injected toward the wafer, thereby removing the deposit.
    Type: Grant
    Filed: January 17, 1997
    Date of Patent: July 25, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Itaru Kanno
  • Patent number: 6090718
    Abstract: After performing an etching process with respect to one substrate, the substrate is taken out from an etching chamber. Then, a dummy substrate is disposed in the etching chamber and a cleaning process is performed. The cleaning process includes a cleaning step for etching reaction products produced during the etching process to be removed, a seasoning step for adjusting the atmosphere within the etching chamber and the temperature of the substrate, and a purge step for removing suspended foreign materials without generating plasma. By performing the cleaning process, the successive etching process can be performed without generating any black silicon on the substrate, thereby attaining a high production yield.
    Type: Grant
    Filed: December 17, 1997
    Date of Patent: July 18, 2000
    Assignee: Denso Corporation
    Inventors: Hajime Soga, Kenji Kondo, Eiji Ishikawa, Yoshikazu Sakano, Yuji Ichikawa
  • Patent number: 6086635
    Abstract: A system and method are provided for separating water from a solvent during dry cleaning. Included is an inlet capable of receiving a mixture of dry cleaning fluid and water from a basket of a dry cleaning apparatus. The dry cleaning fluid includes a siloxane composition. Also provided is a flow controller for urging a flow of the mixture received from the outlet. Coupled to the flow controller is a coalescent media that receives the mixture urged by the flow controller. A chamber is coupled to the coalescent media for receiving the mixture from the coalescent media to separate the water and the dry cleaning fluid. Also coupled to the chamber is an outlet to remove the dry cleaning fluid from the chamber in the absence of the water.
    Type: Grant
    Filed: July 14, 1999
    Date of Patent: July 11, 2000
    Assignee: GreenEarth Cleaning, LLC
    Inventors: Wolf-Dieter R. Berndt, John McLeod Griffiss, James E. Douglas
  • Patent number: 6082374
    Abstract: A method for removing material from a substrate. A plasma is generated in a plasma generating and discharge device including a sapphire plasma tube. At least one fluorine-containing compound is introduced into the plasma. A forming gas is introduced into the plasma. The plasma is directed toward the material to be removed from the substrate.
    Type: Grant
    Filed: September 24, 1997
    Date of Patent: July 4, 2000
    Inventors: Maria Huffman, Palanikumaran Sakthivel, Teresa Zimmerman, Thomas Noble
  • Patent number: 6083848
    Abstract: A method for removing solder from the leads of ICs including immersing the IC in an acid solution. The acid solution dissolves the excess solder on the IC leads. The acid solution is preferably a hydrogen chloride solution containing about 38% hydrogen chloride and 62% water. The acid solution, however, can contain up to 50% hydrogen chloride. After the IC is immersed for a period of time, preferably ten minutes, it is removed from the acid solution and rinsed with water. The IC is rinsed so as to remove any remaining acid solution residue. Rinsing for 5 minutes or more typically ensures removing all of the acid solution. The IC is then inspected to determine whether substantially all of the excess solder is removed from the IC leads. If excess solder still remains on the IC leads, the IC is reintroduced into the solder removing process including immersing the IC in the acid solution, rinsing the IC with water, and inspecting the IC.
    Type: Grant
    Filed: March 31, 1998
    Date of Patent: July 4, 2000
    Assignee: LSI Logic Corporation
    Inventors: Emery Sugasawara, Kevin Weaver, Jay Hidy
  • Patent number: 6080709
    Abstract: The present invention relates to a cleaning solution for cleaning substrates, to which a metallic wiring has been applied, being capable of easily removing the metallic impurities of the substrate surface without corroding the metal, not putting a strain on the environment, and not causing a shelf life problem.The cleaning solution comprising at least one member selected from a group consisting of oxalic acid, ammonium oxalate and polyaminocarboxylic acids, but contains no hydrogen fluoride.
    Type: Grant
    Filed: August 11, 1998
    Date of Patent: June 27, 2000
    Assignees: Kanto Kagaku Kabushiki Kaisha, NEC Corporation
    Inventors: Norio Ishikawa, Kiyoto Mori, Hidemitsu Aoki
  • Patent number: 6080531
    Abstract: An improved method of photoresist removal is disclosed in which a treating solution of ozone and bicarbonate or other suitable radical scavengers is used to treat a substrate for use in an electronic device. The method is particularly well suited to photoresist removal where certain metals such as aluminum, copper and oxides thereof are present on the surface of the substrate. The method is also well suited to the removal of other organic materials as well.
    Type: Grant
    Filed: March 30, 1998
    Date of Patent: June 27, 2000
    Assignee: FSI International, Inc.
    Inventors: Lawrence E. Carter, Steven L. Nelson
  • Patent number: 6077621
    Abstract: The invention is to a dual beam process for providing an ion-conducting membrane with a thin metal or metal-oxide film. The process includes the cleaning of a membrane surface with a low energy electron beam followed by the deposition of the metal or metal-oxide film by a high energy electron beam of ions.
    Type: Grant
    Filed: January 6, 1998
    Date of Patent: June 20, 2000
    Assignee: De Nora S.p.A.
    Inventors: Robert J. Allen, James R. Giallombardo
  • Patent number: 6073292
    Abstract: A method for cleaning or sterilizing objects in a liquid fluid cleaning system comprising a high-pressure storing/working vessel, a cleaning chamber, and a low-pressure supply vessel, the method comprising the steps of loading the cleaning chamber with objects to be cleaned or sterilized; supplying cleaning fluid to the cleaning chamber from the low-pressure supply vessel by means of pressure difference; supplying cleaning fluid to the cleaning chamber from the high-pressure storing/working vessel; cleaning the objects in the cleaning chamber with the cleaning fluid; transferring cleaning fluid from the cleaning chamber to the high-pressure storing/working vessel; and unloading the cleaned objects from the cleaning chamber.
    Type: Grant
    Filed: September 28, 1998
    Date of Patent: June 13, 2000
    Assignee: AGA AB
    Inventors: Kenneth Lindqvist, Orvar Svensson
  • Patent number: 6067999
    Abstract: A method of cleaning a deposition tool to control and minimize emission of environmentally deleterious materials includes the steps of: a) establishing a predetermined temperature in a processing chamber; b) providing a mixture of between 15 and 25 percent nitrogen trifluoride in helium at a mixture flow rate of more than 550 standard cubic centimeters per minute (sccm); c) establishing a high pressure of 1.5 to 9.5 torr in the processing chamber; d) establishing a plasma in the processing chamber, e) establishing a low pressure in the processing chamber of 2 torr or less; and f) establishing a plasma in the processing chamber. Instead of a two-step cleaning method, the method may alternatively be executed as a one-step cleaning method. Either method may be optimized by, among other things, providing 19% nitrogen trifluoride. The two-step method may also be optimized by providing a high pressure of about 7 to 9.5 torr and a low pressure of about 1.5 torr.
    Type: Grant
    Filed: April 23, 1998
    Date of Patent: May 30, 2000
    Assignee: International Business Machines Corporation
    Inventors: Cynthia Marie Hines, James Nicholas Pinto
  • Patent number: 6063300
    Abstract: A method of manufacturing a semiconductor device, including the steps of: cooling a semiconductor wafer to a predetermined temperature, the semiconductor wafer being mounted on a stage provided with cooling means and having a thin oxide film on a surface thereof; supplying energy to gas containing hydrogen and water vapor to excite the gas into a plasma state; adding nitrogen fluoride downstream into a flow of the gas in the plasma state; and introducing a flow of the gas, including the nitrogen fluoride, to the semiconductor wafer to etch the thin oxide film while maintaining the semiconductor wafer at the predetermined temperature.
    Type: Grant
    Filed: February 20, 1998
    Date of Patent: May 16, 2000
    Assignee: Fujitsu Limited
    Inventors: Miki Suzuki, Jun Kikuchi, Mitsuaki Nagasaka, Shuzo Fujimura
  • Patent number: 6048466
    Abstract: To propose a method of cleaning a surface of a glass substrate fabricated by a process of strengthening a surface thereof by alkaline ion exchange reaction by selectively removing alkaline metal on the surface by cleaning the surface by using an activated ionic water produced by electric polarization and as a result, to provide a glass substrate for a magnetic disk having a magnetic medium with insignificant corrosion and excellent S/N ratio, in cleaning a glass substrate for a magnetic disk using a glass substrate pulled up from a chemically strengthening treatment solution produced by an alkaline ion exchange reaction, after a final polishing step of fabricating the glass substrate for a magnetic disk, the glass substrate is cleaned by an activated anodically electrolyzed water produced by electric polarization to thereby selectively remove the alkaline metal at the vicinity of the surface.
    Type: Grant
    Filed: March 19, 1998
    Date of Patent: April 11, 2000
    Assignee: Fine Glass Technology Co., Ltd.
    Inventors: Toshinori Morizane, Masao Kawaguchi, Tadao Tokushima
  • Patent number: 6047713
    Abstract: An improved method of in-situ cleaning a throttle valve in a CVD device and exhaust flow control apparatus for facilitating such cleaning. The throttle valve is repositioned such that it is juxtaposed in close proximity to the exhaust gas port of the reaction chamber. A plasma is then ignited in a cleaning gas mixture of nitrogen trifluoride, hexafluoroethane and oxygen.
    Type: Grant
    Filed: February 3, 1994
    Date of Patent: April 11, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Stuardo A. Robles, Thanh Pham, Bang C. Nguyen
  • Patent number: 6046115
    Abstract: A gas plasma process without argon sputtering for removing photoresist, etch residues and other contaminants involved in etching vias in integrated circuit devices is disclosed. The process involves placing the substrate having etched vias or contact holes in a suitable low bias reactor; applying to the substrate surface a mixture of gases at low bias selected from the group consisting of oxygen, nitrogen, fluorine, hydrofluorocarbon and fluorinated methane and amine gases to both remove the photoresist layer and alter the composition of the residues such that the residues are soluble in water; and rinsing the substrate with deionized water. The plasma process should be carried out at temperatures of less than about 100 degrees C. to avoid mobile ion contamination problems and oxidation of the etch residues.
    Type: Grant
    Filed: October 1, 1998
    Date of Patent: April 4, 2000
    Assignee: Lucent Technologies Inc.
    Inventors: Simon John Molloy, Daniel Joseph Vitkavage
  • Patent number: 6044850
    Abstract: Ashing process of a resist pattern used in a semiconductor device manufacturing method is conducted by exposing the resist, the wirings, and their peripheral regions to a first atmosphere which includes a first product obtained by plasmanizing a gas containing water at a rate of more than 30 flow rate %, and placing the resist in a second atmosphere which includes a second product obtained by plasmanizing an oxygen mixed gas which contains an oxygen gas as a principal component before or after or before and after the exposing step.
    Type: Grant
    Filed: October 30, 1997
    Date of Patent: April 4, 2000
    Assignee: Fujitsu Limited
    Inventors: Soichiro Ozawa, Satoru Mihara, Kunihiko Nagase, Masaaki Aoyama, Naoki Nishida
  • Patent number: 6033973
    Abstract: A halogen fluoride such as ClF.sub.3 is introduced into the chamber of the doping system. During the doping process, boron adhering to the inner wall of the chamber is changed into gaseous boron fluoride (such as BF.sub.3) and driven off.
    Type: Grant
    Filed: December 5, 1995
    Date of Patent: March 7, 2000
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yasuhiko Takemura
  • Patent number: 6030754
    Abstract: A method of removing photoresist material from a semiconductor wafer is disclosed. The method includes rinsing the semiconductor wafer in an organic solvent selected to dissolve the photoresist material. The method next rinses the semiconductor wafer in a light alcohol such as isopropyl alcohol. The method next subjects the semiconductor wafer to an alcohol vapor dry operation. An oxygen plasma ashing operation is then used to oxidize organic material on the semiconductor wafer. This is followed by another rinse. This post ash rinse includes only the light alcohol without the organic solvent. The post ash rinse may include dipping the semiconductor wafers into one or two isopropyl alcohol tanks. Finally is another alcohol vapor dry operation.
    Type: Grant
    Filed: December 5, 1997
    Date of Patent: February 29, 2000
    Assignee: Texas Instruments Incorporated
    Inventor: Earl V. Atnip
  • Patent number: 6024802
    Abstract: A vapor processing method for reducing oxide material depletion includes an early step of placing a polymer-coated substrate inside a vapor process chamber (VPC), a pre-processing step of passing an inert gas into the VPC for a definite period followed by an idling period, a clearing step of passing a reactive gas carried by an inert carrier into the VPC for clearing away previously deposited polymer on the substrate, and a post-processing step of passing an inert gas into the VPC to purge any unreacted reactive gases. Thereafter, the substrate is transferred to a dry task chamber (DTC) for cleaning, wherein the cleaning includes removing any residual gases on the wafer surface. Time required for cleaning the wafer in the DTC is smaller than the total time required for pre-processing, polymer clearing and post-processing.
    Type: Grant
    Filed: July 30, 1998
    Date of Patent: February 15, 2000
    Assignee: Winbond Electronics Corp.
    Inventors: Mei-Hui Sung, Shih-Kuan Tai
  • Patent number: 6022837
    Abstract: A composition for rinsing a memory hard disc, which comprises water and an additive selected from the group consisting of an oxo-acid, an oxo-acid salt and a chloride.
    Type: Grant
    Filed: November 17, 1997
    Date of Patent: February 8, 2000
    Assignee: Fujimi Incorporated
    Inventor: Toshiki Oowaki
  • Patent number: 6016817
    Abstract: The treatment of plate-shaped workpieces in particular circuit boards, with small holes by means of liquid or gaseous treatment agents has been founded to be difficult since the fluid flows through the holes only at very low speeds, particularly if the ratio of hole diameter of these holes to hole length is very small, thus preventing adequate movement of fluid through the hole. The invention calls for this problem to be solved by causing the workpiece held in a horizontal position, to carry out a composite motion made up of a first, continuous and/or periodic intermittent sliding movement along a horizontal path and a second movement consisting of vigorous vibration oscillations, the two movements being produced independently of each other.
    Type: Grant
    Filed: July 3, 1997
    Date of Patent: January 25, 2000
    Assignee: Atotech Deutschland GmbH
    Inventor: Hans Henig
  • Patent number: 6014979
    Abstract: A process for etching a substrate 20 in a process chamber 25 having sidewalls 30 and a sacrificial collar 100, and for cleaning the sacrificial collar without eroding or otherwise damaging the sidewalls. The process comprises an etching stage in which a substrate 20 is placed in the process chamber 25, and the sacrificial collar 100 is maintained around the substrate to add or remove species from a process gas to affect a processing rate of the substrate periphery. The process further comprises a localized cleaning stage in which the substrate 20 is removed, a cleaning gas introduced into the process chamber 25, and a localized cleaning plasma sheath 95 is formed to clean process residues formed on the sacrificial collar 100 substantially without extending the localized cleaning plasma sheath 95 to the sidewalls 30 of the process chamber.
    Type: Grant
    Filed: June 22, 1998
    Date of Patent: January 18, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Luc Van Autryve, Stefan Oswald Lang
  • Patent number: 6013194
    Abstract: Compositions disclosed include hexafluoropropane and a hydrocarbon having from 1 to 5 carbon atoms or dimethyl ether. These compositions are useful as refrigerants, cleaning agents, expansion agents for polyolefins and polyurethanes, aerosol propellants, refrigerants, heat transfer media, gaseous dielectrics, fire extinguishing agents, power cycle working fluids, polymerization media, particulate removal fluids, carrier fluids, buffing abrasive agents, and displacement drying agents.
    Type: Grant
    Filed: April 21, 1997
    Date of Patent: January 11, 2000
    Assignee: E.I. duPont de Nemours and Company
    Inventor: Barbara Haviland Minor
  • Patent number: 6010967
    Abstract: In but one aspect of the invention, a plasma etching method includes forming polymer material over at least some internal surfaces of a dual powered plasma etch chamber while first plasma etching an outer surface of a semiconductor wafer received by a wafer holder within the chamber. After the first plasma etching, second plasma etching is conducted of polymer material from the chamber internal surfaces while providing a bias power at the wafer holder effective to produce an ac peak voltage at the wafer surface of greater than zero and less than 200 Volts. In one implementation, second plasma etching is conducted of polymer material from the chamber internal surfaces while providing a bias power at the wafer holder of greater than zero Watts and less or equal to about 1 Watt/cm.sup.2 of wafer surface area on one side.
    Type: Grant
    Filed: May 22, 1998
    Date of Patent: January 4, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Kevin G. Donohoe, Richard L. Stocks
  • Patent number: 6006763
    Abstract: A method for surface treatment of a substrate is described in which a gas discharge at or about atmospheric pressure produces activated gas or active species which are then used for surface treatment of a substrate. When the discharge gas contains oxygen, for example, surface treatment forms a metal oxide film on a metal circuit on a substrate. If, however, the gas contains hydrogen or an organic substance, a metal oxide film, such as a transparent electrode formed on the surface of a liquid crystal panel, is reduced. Alternatively, by causing discharge to take place adjacent to the surface of a liquid, or bubbled through a liquid, a liquid may be used for surface treatment of a substrate without risk of thermal or electrical damage to the substrate.
    Type: Grant
    Filed: March 16, 1998
    Date of Patent: December 28, 1999
    Assignee: Seiko Epson Corporation
    Inventors: Yoshiaki Mori, Takuya Miyakawa, Katsuhiro Takahashi, Takeshi Miyashita, Satoru Katagami
  • Patent number: 6006764
    Abstract: The present invention provides a method of removing photoresist from a wafer surface having a bonding pad using a three step clean composed of (1) a wet cleaning the substrate, (2) a F-containing gas high temperature plasma treatment which prevents the corrosion of aluminum contact pad, and (3) completely striping the photoresist strip using an O.sub.2 dry ash. The invention eliminates metal bonding pad corrosion and the completely removes residual photoresist from keyholes.
    Type: Grant
    Filed: January 28, 1997
    Date of Patent: December 28, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Tao Chu, Ching-Wen Cho, Chia-Hung Lai, Chih-Chien Hung
  • Patent number: 6003526
    Abstract: A method for cleaning a plasma etch chamber is described which can be carried out by first terminating an etch process by stopping a process gas flow into the chamber, then maintaining a RF power in the etch chamber, and flowing a cleaning gas consists of at least one inert gas and oxygen through the chamber at a flow rate higher than the flow rate for the process gas for a length of time sufficient to evacuate substantially all the contaminating byproducts formed by the process gas. A suitable cleaning gas contains at least one inert gas of Ar, He, or N.sub.2 mixed with O.sub.2. A sufficient length of time for the cleaning process is at least 5 seconds, and preferably at least 10 seconds.
    Type: Grant
    Filed: September 12, 1997
    Date of Patent: December 21, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Chi-Hsin Lo, Hsing-Yuan Cheu
  • Patent number: 6004434
    Abstract: A method of treating cleaning solvent used to clean mechanical parts. The method includes adding to the cleaning solvent a treating composition selected from the group of C.sub.5 -C.sub.14 alcohols, C.sub.2 -C.sub.8 dials, C.sub.10 -C.sub.14 polyols, and C.sub.4 -C.sub.14 glycol ethers, and mixtures thereof. A further embodiment includes the foregoing plus adding a clarifying agent from the group of aromatic, aliphatic and alkaryl sulfonic acids and sulfonic acid salts, esterified polyols, and alkyl phenol formaldehyde resins capped with alkoxy groups. The solvent is vaporized and condensed to effect recycling thereof without adding substituted quantities of treating composition to the solvent.
    Type: Grant
    Filed: July 7, 1994
    Date of Patent: December 21, 1999
    Assignee: Safety-Kleen Corp.
    Inventor: Esfandiar Kiany
  • Patent number: 6004403
    Abstract: A improved solvent cleaning method and system which is truly a closed system in which the object to be cleaned is placed in a chamber and subjected to a negative gauge pressure to remove air and other non-condensible gases after which a solvent is introduced to the evacuated chamber and the object is cleaned and then the solvent is recovered from the object and chamber within the closed system before the clean object is removed.
    Type: Grant
    Filed: May 13, 1996
    Date of Patent: December 21, 1999
    Assignee: Gebhard Gray Associates
    Inventors: Donald J. Gray, Peter T. E. Gebhard
  • Patent number: 6004631
    Abstract: An apparatus for removing unnecessary matter formed on an edge portion of a substrate without damaging a middle area of a top face of the substrate is provided. The substrate is supported on a stage which is in contact only with a bottom face of the substrate. An activated gas supply device is located opposed to the stage and includes a ring-shaped electrode and a cover electrode surrounding the ring-shaped electrode. The cover electrode includes a gas outlet formed therethrough. Activated species and excited molecules formed from an atmospheric plasma are blown against the edge portion of the substrate through the gas outlet. The activated species and excited molecules and unnecessary matter removed form the edge portion of the substrate through reaction with the activated species and excited molecules, is exhausted along a side face of the edge portion of the substrate and away from the middle area through an exhausting device.
    Type: Grant
    Filed: February 7, 1996
    Date of Patent: December 21, 1999
    Assignee: Seiko Epson Corporation
    Inventor: Kozo Mori
  • Patent number: 6000411
    Abstract: A method of removing etching residue from a substrate by contacting a substrate having etching residue present thereon with an etching residue remover derived from a mixture of at least hydroxylamine, an alkanolamine which is miscible with the hydroxylamine, water, and, optionally, a chelating agent at a temperature and for a time sufficient to remove the etching residue from the substrate.
    Type: Grant
    Filed: August 13, 1998
    Date of Patent: December 14, 1999
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 5983907
    Abstract: Methods for drying semiconductor wafers following a chemical cleaning process utilize both a hot deionized water bath and medium-wavelength infrared light drying techniques. A preferred method comprises the steps of (a) maintaining a body of hot deionized water in a bath; (b) submerging multiple wafers to be dried in the body of hot deionized water in said bath; (c) slowly pushing said multiple wafers up through the surface of the body of hot deionized water in said bath; (d) providing an infrared bath containing an infrared lamp; and (e) transferring said multiple wafers to said infrared bath for drying.
    Type: Grant
    Filed: August 5, 1997
    Date of Patent: November 16, 1999
    Assignee: SEH America, Inc.
    Inventors: Jaclyn N. Danh, Masami Nakano
  • Patent number: 5972862
    Abstract: There is disclosed a cleaning liquid for producing a semiconductor device which comprises (A) fluorine-containing compound; (B) water-soluble or water-miscible organic solvent; and (C) inorganic acid and/or organic acid, optionally, further comprises (D) quaternary ammonium salt or (D') a specific organic carboxylic acid ammonium salt and/or an organic carboxylic acid amine salt; as well as a process for producing a semiconductor device by forming a resist pattern on a substrate equipped on the surface with an insulating film layer or a metallic electroconductive layer, forming a via hole or electric wiring by dry etching, removing the resist pattern by ashing treatment with oxygen plasma; and effecting an cleaning treatment with the above cleaning liquid. The above cleaning liquid and production process can readily remove the deposit polymer formed in the case of dry etching without impairing metallic film and insulating film.
    Type: Grant
    Filed: July 28, 1997
    Date of Patent: October 26, 1999
    Assignee: Mitsubishi Gas Chemical
    Inventors: Yoshimi Torii, Shunji Sasabe, Masayuki Kojima, Kazuhisa Usuami, Takafumi Tokunaga, Kazusato Hara, Yoshikazu Ohira, Tsuyoshi Matsui, Hideto Gotoh, Tetsuo Aoyama, Ryuji Hasemi, Hidetoshi Ikeda, Fukusaburo Ishihara, Ryuji Sotoaka
  • Patent number: 5972863
    Abstract: Compositions useful for polishing wafers to be used in microelectronic devices comprise silicon dioxide, aluminum oxide, sodium hydroxide, and water. Cleaning compositions for removing electron wax from wafers to be used in microelectronic devices comprise from about 2 to about 6 percent by weight of ammonium hydroxide, from about 10 to about 22 percent by weight of hydrogen peroxide, and water.
    Type: Grant
    Filed: March 12, 1998
    Date of Patent: October 26, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-yeol Heo, Jung-min Park, Sung-hoon Cho, Gi-jung Kim
  • Patent number: 5968279
    Abstract: The silicon surface of a wafer is cleaned at room temperature in a separate pre-clean chamber prior to epitaxial deposition. Fluorine atoms generated, for example, from NF.sub.3 gas, enter the pre-clean chamber, contact the silicon surface, and etch away native oxide, contaminated silicon, and other damage incurred from prior wafer processes. The cleaned wafer is then transferred in an oxygen-free environment to a deposition chamber, for epitaxial deposition. By cleaning at reduced temperatures, autodoping, slip, and other stress-related problems are alleviated. By using a separate chamber for cleaning, system throughput is increased when compared to prior systems using conventional cleaning methods.
    Type: Grant
    Filed: June 13, 1997
    Date of Patent: October 19, 1999
    Assignee: Mattson Technology, Inc.
    Inventors: Joseph H. MacLeish, Mahesh K. Sanganeria
  • Patent number: 5970376
    Abstract: A method for forming a via through a dielectric layer within a microelectronics fabrication. There is first provided a substrate employed within a microelectronics fabrication. There is then formed over the substrate a low dielectric constant dielectric layer, where the low dielectric constant dielectric layer is formed from a silsesquioxane spin-on-glass (SOG) dielectric material. There is then formed over the low dielectric constant dielectric layer a patterned photoresist layer. There is then etched through use of a fluorine containing plasma etch method while employing the patterned photoresist layer as a photoresist etch mask layer the low dielectric constant dielectric layer to form a patterned low dielectric constant dielectric layer having a via formed therethrough. The fluorine containing plasma etch method employing a fluorine containing etchant gas composition which simultaneously forms a fluorocarbon polymer residue layer upon a sidewall of the via.
    Type: Grant
    Filed: December 29, 1997
    Date of Patent: October 19, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Chao-Cheng Chen
  • Patent number: 5968278
    Abstract: An improved etching procedure that uses three processing steps to vastly improve HAR opening profile and improved under-layer selectivity. A new three sequence etching process is provided during which a new three-gas plasma etch is to be used. This new etching sequence is preceded by a new main etch that uses three gasses and followed by a new over-etch procedure that uses the same three gasses and etching conditions as the new main etch.
    Type: Grant
    Filed: December 7, 1998
    Date of Patent: October 19, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Bao-Ru Young, Chia-Shiung Tsai, Wen-Chuan Chiang
  • Patent number: 5964951
    Abstract: A rinsing solution for lithography which comprises a homogeneous solution of a water-soluble organic solvent and water. The water-soluble organic solvent may be any water-miscible organic solvent that have been used as solvents or rinsing solutions for resists or anti-reflective coatings. Preferred examples of the water-soluble organic solvent are a mixture of propylene glycol monoethyl ether and propylene glycol monometyl ether acetate, a mixture of propylene glycol monomethyl ether and propylene glycol monometyl ether acetate, and ethyl lactate. This rinsing solution is useful for dissolving away or stripping away cured or non-cured unnecessary resists, anti-reflective coatings, etc. from substrates such as in integrated circuit elements, color filters, liquid crystal display elements, etc. or from a resist-applying apparatus.
    Type: Grant
    Filed: December 23, 1997
    Date of Patent: October 12, 1999
    Assignee: Clariant International Ltd.
    Inventors: Kenji Yamamoto, Akihiko Igawa
  • Patent number: 5952060
    Abstract: An apparatus for processing substrates that includes a processing chamber having an interior surface at least partially coated with a carbon-based coating. The carbon-based coating protects the interior of the chamber from etching gases and other reactants used during substrate processing. The coating also resists accumulation of residues, does not generate particulates and seals in impurities residing in the coated materials. In preferred embodiments the carbon-based coating is either diamond or a diamond-like carbon (DLC) coating. Also described is a process for protecting a processing chamber's interior surface from the reactants used in substrate processing by coating at least portions of the surface with such a carbon-based coating.
    Type: Grant
    Filed: June 14, 1996
    Date of Patent: September 14, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Kramadhati Ravi
  • Patent number: 5952245
    Abstract: Disclosed is apparatus for treating samples, and a method of using the apparatus. The apparatus includes processing apparatus (a) for treating the samples (e.g., plasma etching apparatus), (b) for removing residual corrosive compounds formed by the sample treatment, (c) for wet-processing of the samples and (d) for dry-processing the samples. A plurality of wet-processing treatments of a sample can be performed. The wet-processing apparatus can include a plurality of wet-processing stations. The samples can either be passed in series through the plurality of wet-processing stations, or can be passed in parallel through the wet-processing stations.
    Type: Grant
    Filed: September 25, 1996
    Date of Patent: September 14, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Yoshimi Torii, Kazuo Nojiri, Yoshinao Kawasaki, Yoshiaki Sato, Ryooji Fukuyama, Hironobu Kawahara
  • Patent number: 5945354
    Abstract: A method for reducing particles (235) during a semiconductor process. A semiconductor substrate (230) is placed into a processing chamber (210). A processing pressure (108) is applied within the chamber (212). A processing power (102) is applied to the chamber. A grid power (104,106) for removing particles (235) is applied to the chamber (212). The processing power (102) is removed. The grid power (106) is removed after the processing power (102).
    Type: Grant
    Filed: February 3, 1997
    Date of Patent: August 31, 1999
    Assignee: Motorola, Inc.
    Inventor: Karl Emerson Mautz
  • Patent number: 5938859
    Abstract: The invention provides a solvent mixture including n-propyl bromide, a mixture of low boiling solvents and, preferably, a defluxing and/or ionics removing additive and/or at least one saturated terpene. The invention also provides a method of cleaning an article (e.g., an electrical, plastic, or metal part) in a vapor degreaser using the solvent mixture. The solvent mixture of the invention is non-flammable, non-corrosive, and non-hazardous. In addition, it has a high solvency and a very low ozone depletion potential. Thus, using the solvent mixture of the invention, oil, grease, rosin flux, and other organic material can be readily removed from the article of interest in an environmentally safe manner.
    Type: Grant
    Filed: November 10, 1997
    Date of Patent: August 17, 1999
    Assignee: Lawrence Industries, Inc.
    Inventors: Lawrence A. Clark, James L. Priest
  • Patent number: 5925577
    Abstract: A method of plasma etching photoresist and sidewall polymer with an etch gas mixture comprising a fluorine containing gas (CF.sub.4 or NF.sub.3) and H.sub.2 O demonstrating very aggressive ashrate of photoresist but maintains an exceptionally low etch rate for titanium nitride and other metals is provided. The very low TiN etch rate permits the inventive method to effectively breakdown sidewall polymer without removing any significant amount of these metals. The invention is particularly suited for stripping sidewall polymer from etched via holes and from etched metal lines. Vias fabricated with this technique exhibit exceptionally low resistance.
    Type: Grant
    Filed: October 3, 1997
    Date of Patent: July 20, 1999
    Assignee: Vlsi Technology, Inc.
    Inventor: Ramiro Solis
  • Patent number: 5925501
    Abstract: A new method of removing photoresist residues and sidewall deposits is described. Semiconductor device structures are provided in and on a semiconductor substrate. The semiconductor device structures are covered with an insulating layer. A metal layer is deposited overlying the insulating layer. The metal layer is covered with a layer of photoresist. The photoresist layer is exposed to actinic light and developed and patterned to form the desired photoresist mask. The metal layer is etched away where it is not covered by the photoresist mask to form metal lines whereby a photoresist residue remains overlying the metal lines and whereby sidewall deposits form on the sidewalls of the metal lines. The wafer is exposed to a plasma comprising O.sub.2 and CF.sub.4 at a low power of less than about 200 watts whereby an upper portion of the photoresist residue and a portion of the sidewall deposits are removed. Thereafter, the wafer is heated and then rinsed whereby all of the sidewall deposits are removed.
    Type: Grant
    Filed: December 15, 1997
    Date of Patent: July 20, 1999
    Assignee: Chartered Semiconductor Manufacturing Ltd
    Inventors: Xin Zhang, Guat Choo Carol Goh
  • Patent number: 5926743
    Abstract: A method and apparatus for removing particles and residue that build up inside a substrate processing system during a substrate processing operation, without overetching system components, is described. One method includes the steps of: flowing an etchant gas comprising chlorine trifluoride (ClF.sub.3), diluted with an inert carrier gas, into a processing chamber after completion of the substrate processing operation. The parts of the system within the chamber with the greatest amount of build-up are preferentially heated to facilitate more extensive cleaning of those parts. Parts of the system within the chamber with less build up are protected from overetching by keeping them about 200.degree. C. cooler than the heavily-deposited parts. Heating the heavily-deposited chamber parts to a temperature of at least about 400.degree. C. allows using a lower concentration of etchant gas for the cleaning process than a lower temperature process would allow.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: July 20, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Kazuhiro Nishina, Steve Chen, Toshiaki Fujita