Including Application Of Electrical Radiant Or Wave Energy To Work Patents (Class 134/1)
  • Patent number: 10170286
    Abstract: An ion source assembly and method is provided for improving ion implantation performance. The ion source assembly has an ion source chamber and a source gas supply provides a molecular carbon source gas such as toluene to the ion source chamber. A source gas flow controller controls a flow of the molecular carbon source gas to the ion source chamber. An excitation source excites the molecular carbon source gas, forming carbon ions and atomic carbon. An extraction electrode extracts the carbon ions from the ion source chamber, forming an ion beam. A hydrogen peroxide co-gas supply provides a predetermined concentration of hydrogen peroxide co-gas to the ion source chamber, and a hydrogen peroxide co-gas flow controller controls a flow of the hydrogen peroxide gas to the ion source chamber. The hydrogen peroxide co-gas decomposes within the ion source chamber and reacts with the atomic carbon from the molecular carbon source gas in the ion source chamber, forming hydrocarbons within the ion source chamber.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: January 1, 2019
    Assignee: Axcelis Technologies, Inc.
    Inventors: Neil K. Colvin, Tseh-Jen Hsieh
  • Patent number: 10168627
    Abstract: An exposure apparatus and manufacturing methods using the exposure apparatus are disclosed. An exposure apparatus includes a light source system generating light, an optical system controlling and patterning the light, a substrate system on which an exposure process is performed on a substrate by the patterned light, and a control unit controlling the light source system, the optical system and the substrate system. The optical system includes a chamber, a reflection member disposed in the chamber to control the light, and a first on-off valve installed on one side of the chamber opposite to the substrate system. The control unit controls the optical system such that the first on-off valve is opened during the exposure process and is closed during a cleaning process performed to the inside of the chamber.
    Type: Grant
    Filed: April 22, 2016
    Date of Patent: January 1, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sungjoo Kim, Yun kyeong Jang, Jinhong Park, Dohyun Seo, HyunHoon Lee
  • Patent number: 10160015
    Abstract: An apparatus for removing at least one foreign substance includes a detection unit detecting the at least one foreign substance adhered to a holding surface of a suction holding unit configured to suck and hold a substrate, a removal unit removing the at least one foreign substance adhered to the holding surface using fluid, and a movement mechanism configured to move the detection unit and the removal unit.
    Type: Grant
    Filed: April 4, 2016
    Date of Patent: December 25, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Osamu Hirakawa, Yoshitaka Otsuka
  • Patent number: 10156784
    Abstract: A method includes directing an acoustically agitated fluid stream at a first surface of a substrate to cause the substrate to vibrate mechanically thereby dislodging contaminant particles on the substrate. The first surface of the substrate is opposite a second surface of the substrate. The second surface of the substrate includes a pattern. An amplitude of the acoustically agitated fluid stream is configured to produce an acoustic response along an entirety of the second surface.
    Type: Grant
    Filed: February 5, 2018
    Date of Patent: December 18, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ching-Wei Shen, Chi-Lun Lu, Kuan-Wen Lin
  • Patent number: 10153216
    Abstract: Degradation of reliability of a semiconductor device is prevented. An electrode pad included mainly of aluminum is formed over amain surface of a semiconductor wafer. Subsequently, a first insulating member and a second insulating member are formed over the main surface of the semiconductor wafer so as to cover the electrode pad, and thereafter an opening portion that exposes a surface of the electrode pad is formed in the first insulating member and the second insulating member by a dry etching method using an etching gas including a halogen-based gas. Thereafter, an oxide film with a thickness of 2 nm to 6 nm is formed over the exposed surface of the electrode pad by performing a heat treatment at 200° C. to 300° C. in an air atmosphere, and then the semiconductor wafer is stored.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: December 11, 2018
    Assignee: Renesas Electronics Corporation
    Inventor: Takehiro Oura
  • Patent number: 10149598
    Abstract: A debris collection device for sanitary collection of debris onto an adhesive strip includes a housing that defines an internal space. A pair of rollers is rotationally coupled to and extends perpendicularly from a side of the housing. The rollers are positioned singly proximate to a first end and a second end of the housing. A drive is coupled to the housing and is positioned in the internal space. The drive is operationally coupled to the rollers such that the rollers rotate coincidentally. Each opposing end of a strip is couplable to a respective roller. An adhesive is coupled to a face of the strip. A handle is coupled to and extends from the second end of the housing. A respective roller is configured to position and roll upon a surface to adhesively collect debris onto the strip.
    Type: Grant
    Filed: September 27, 2016
    Date of Patent: December 11, 2018
    Inventor: Fred Doane
  • Patent number: 10137612
    Abstract: A method of removing an ophthalmic lens from a lens mold portion is provided and includes reciprocally displacing a region of the mold portion and thereby causing an edge portion of the ophthalmic lenses to become detached from the mold portion. Related apparatus, methods of manufacturing ophthalmic lenses, delensing stations, and manufacturing times are provided.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: November 27, 2018
    Assignee: CooperVision International Holding Company, LP
    Inventors: John Robert Gibson, Terence Michael Cook, James Bryan Cook
  • Patent number: 10139162
    Abstract: An acoustic energy-transfer system includes: an acoustic chest arranged circumferentially around a container configured to receive a material to be processed; and an ultrasonic transducer arranged circumferentially inside the acoustic chest, the ultrasonic transducer defining an acoustic slot extending through the ultrasonic transducer, the acoustic slot angled with respect to a central axis of the acoustic chest.
    Type: Grant
    Filed: April 13, 2017
    Date of Patent: November 27, 2018
    Assignee: Heat Technologies, Inc.
    Inventors: Zinovy Zalman Plavnik, Jason Lye
  • Patent number: 10137632
    Abstract: A method of manufacturing a three-dimensional object is disclosed. The method includes operating a first ejector of a three-dimensional object printer to eject a first material towards a platen to form an object. The method further includes operating a second ejector of the three-dimensional object printer to eject a second material towards the platen to form support for portions of the object, the support being configured to provide support for portions of the object during the operation of the first ejector to form the object, at least one portion of the support having a body with at least one fluid path that connects at least one opening in the body to at least one other opening in the body. The method further includes connecting a fluid source to the at least one fluid path of the support to enable fluid to flow through the at least one fluid path to remove at least an inner portion the support from the object.
    Type: Grant
    Filed: November 11, 2015
    Date of Patent: November 27, 2018
    Assignee: Xerox Corporation
    Inventors: David A. Mantell, Andrew W. Hays, Linn C. Hoover, Ron E. Dufort, Erwin Ruiz, Patrick J. Howe
  • Patent number: 10134784
    Abstract: To eliminate electric discharge when an element formation layer including a semiconductor element is peeled from a substrate used for manufacturing the semiconductor element, a substrate over which an element formation layer and a peeling layer are formed and a film are made to go through a gap between pressurization rollers. The film is attached to the element formation layer between the pressurization rollers, bent along a curved surface of the pressurization roller on a side of the pressurization rollers, and collected. Peeling is generated between the element formation layer and the peeling layer and the element formation layer is transferred to the film. Liquid is sequentially supplied by a nozzle to a gap between the element formation layer and the peeling layer, which is generated by peeling, so that electric charge generated on surfaces of the element formation layer and the peeling layer is diffused by the liquid.
    Type: Grant
    Filed: February 9, 2017
    Date of Patent: November 20, 2018
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shingo Eguchi, Yohei Monma, Atsuhiro Tani, Misako Hirosue, Kenichi Hashimoto, Yasuharu Hosaka
  • Patent number: 10126273
    Abstract: A method of detecting inconsistencies in a structure is presented. A pulsed laser beam is directed towards the structure. A plurality of types of ultrasonic signals is formed in the structure when radiation of the pulsed laser beam is absorbed by the structure. The plurality of types of ultrasonic signals is detected to form data.
    Type: Grant
    Filed: February 29, 2016
    Date of Patent: November 13, 2018
    Assignee: The Boeing Company
    Inventors: Ivan Pelivanov, William P. Motzer, Matthew O'Donnell, Steven Kenneth Brady, Gary Ernest Georgeson, Jeffrey Reyner Kollgaard, Clarence Lavere Gordon, III, Jill Paisley Bingham, Alan F. Stewart, James C. Kennedy
  • Patent number: 10112414
    Abstract: Provided are an image recording method including: subjecting a recording substrate to a surface treatment by irradiating an image recording surface of the recording substrate with light from excimer emission using a xenon gas, the recording substrate being an aggregate of non-absorbent or low-absorbent fiber materials; and applying an ink composition by an ink jet method onto the image recording surface of the recording substrate after the surface treatment; and an image recorded article.
    Type: Grant
    Filed: March 24, 2017
    Date of Patent: October 30, 2018
    Assignee: Fujifilm Corporation
    Inventor: Ryuki Kakino
  • Patent number: 10112344
    Abstract: A machine for processing a part produced by a 3-D printer. The machine includes a housing having a working chamber defined therein. A support structure is disposed at the bottom of the working chamber for supporting the part. The structure has at least one opening defined therein. A spray header is disposed along at least a portion of the perimeter of the working chamber. A pump is configured and arranged to convey a fluid at varying pressures through the spray header. The fluid contacts the part and then passes through the opening in the structure where it flows to the bottom of the working chamber to a fluid outlet.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: October 30, 2018
    Assignee: Audubon Machinery Corporation
    Inventors: Joseph M. McMahon, Scott Alexander Gilmour, Adam Michael Moehlau, Joshua J. Yagy, Daniel J. Hutchinson
  • Patent number: 10112169
    Abstract: A system and method for resolving and/or mechanically manipulating molecular bonds. A method for resolving molecular bonds includes applying ultrasound to molecules to be manipulated. A magnetic signal associated with the molecules is measured. Whether ultrasound causes dissolution of the bonds of the molecules is determined based on measurements of the magnetic signal.
    Type: Grant
    Filed: October 27, 2014
    Date of Patent: October 30, 2018
    Assignee: UNIVERSITY OF HOUSTON SYSTEM
    Inventors: Shoujun Xu, Lashan De Silva, Li Yao
  • Patent number: 10100206
    Abstract: A composition for pretreating surfaces of equipment for use with an uncured cementitious material, such as uncured concrete, and/or for cleaning cured cementitious material from the surfaces of such equipment includes an aqueous solution with colloidal silica. Pretreatment of a surface with such an aqueous solution may reduce or eliminate adhesion of uncured cementitious material to the surface. Cured cementitious material may be removed from equipment surfaces by wetting the cured cementitious material with the aqueous solution to chemically soften the cured cementitious material, along with abrading the cured cementitious material to mechanically remove the same from the equipment surfaces. Systems for removing cured cementitious material from the surfaces of equipment configured to use with uncured cementitious material include an abrasive element and an aqueous solution with colloidal silica.
    Type: Grant
    Filed: January 20, 2015
    Date of Patent: October 16, 2018
    Assignee: Arris Technologies, LLC
    Inventor: Mark Wetherell
  • Patent number: 10096492
    Abstract: A substrate cleaning apparatus capable of preventing a cleaning vessel from being corroded by a chemical liquid while constituting the cleaning vessel with a low-price material is provided. The substrate cleaning apparatus includes: a cleaning vessel for holding a substrate therein; a substrate holder arranged in the cleaning vessel; a chemical liquid nozzle for supplying a chemical liquid onto the substrate held by the substrate holder; and a plurality of cleaning liquid nozzles for supplying a cleaning liquid onto an inner surface of the cleaning vessel. The inner surface of the cleaning vessel has been subjected to a hydrophilization treatment.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: October 9, 2018
    Assignee: EBARA CORPORATION
    Inventors: Koji Maeda, Hiroshi Shimomoto, Hisajiro Nakano
  • Patent number: 10096498
    Abstract: An apparatus for radiatively scribing a planar semiconductor substrate along a scribelane that extends between opposing rows of semiconductor devices on a target surface of the substrate. The scribelane extends parallel to a first direction parallel to a second direction, these first and second directions lying respectively parallel to X and Y axes of a Cartesian coordinate system. Such an apparatus may include an illuminator for producing an array of light beams; a projection system for focusing the light beams onto the target surface; an actuator system for causing relative displacement of a substrate holder with respect to light beams parallel to an XY plane; and an adjustable spatial filter located between the illuminator and the substrate holder, and including motorized plates whose position is adjustable so as to at least partially block selectable light beams of the light beam array.
    Type: Grant
    Filed: October 14, 2014
    Date of Patent: October 9, 2018
    Assignee: ASM TECHNOLOGY SINGAPORE PTE LTD
    Inventors: Ivo Libertus Adrianus Johannes Maria Pullens, Wilhelmus Hubertus Smits, Gerardus Johannes Verhaart, Karel Maykel Richard Van Der Stam, Guido Martinus Henricus Knippels
  • Patent number: 10080370
    Abstract: A method for reducing level of contaminants from an object, the method comprises introducing the object into an ultrasonic (US) bath carrying an aqueous medium that holds, suspended therein, insoluble nanoparticles and activating said bath to apply US waves onto said object while the object is at least partially submerged within said aqueous medium.
    Type: Grant
    Filed: April 13, 2015
    Date of Patent: September 25, 2018
    Assignee: EVER CLEAN AND CLEAR TECHNOLOGIES LTD.
    Inventor: Shlomo Rotter
  • Patent number: 10076822
    Abstract: A part processing apparatus and method is disclosed that includes a media-blasting apparatus and a cleaning apparatus. The media-blasting apparatus is configured to blast a stream of media against a surface of a part, and the cleaning apparatus is configured to clean debris or particles from the surface of the part. The cleaning apparatus includes a first spray-and-wash unit, a first ultrasonic wash unit, a second ultrasonic wash unit, and a second spray-and-wash unit, which may be arranged in the listed order. Each of the units may be configured to utilize hot liquid or water to clean the part being processed. The first ultrasonic wash unit is configured to ultrasonically vibrate a liquid in the first ultrasonic wash unit at a first frequency, and the second ultrasonic wash unit is configured to ultrasonically vibrate a liquid in the second ultrasonic wash unit at a second frequency.
    Type: Grant
    Filed: October 28, 2016
    Date of Patent: September 18, 2018
    Assignee: Engineered Abrasives, Inc.
    Inventor: Michael J. Wern
  • Patent number: 10076232
    Abstract: A sheath assembly for an endoscope includes an elongate tubular body and a plug. The endoscope has a handle portion and a scope portion extending therefrom to a tip. The body includes first and second wall sections and extends along a longitudinal axis between first and second distal openings. The first wall section extends radially about the longitudinal axis to define a scope lumen. The scope portion is receivable in the scope lumen. The second wall section extends radially about a portion of the first wall section and longitudinally between second distal and proximal end openings. The first and second wall sections define an integral suction lumen in fluid communication with a first source of negative pressure. The plug is mated with the distal end opening for closing the scope lumen and includes at least one suction opening disposed in co-registration with the first distal end opening.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: September 18, 2018
    Assignees: The Cleveland Clinic Foundation, Parker Hannifin Corporation
    Inventors: Rafi Avitsian, Andrew M. Zura, Robert B. Guthrie, Douglas W. Haight, James T. Callegari, Michael Collinson
  • Patent number: 10079163
    Abstract: Disclosed is an apparatus for treating a substrate. The apparatus includes a support unit that supports the substrate, and a treatment liquid supply unit that supplies a treatment liquid to the substrate, and the treatment liquid supply unit includes an injection unit that supplies the treatment liquid to the substrate supported by the support unit, a tank that accommodates the treatment liquid, a pipe connected to the tank, and a static electricity removing member that removes static electricity from the treatment liquid.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: September 18, 2018
    Assignee: Semes Co., Ltd.
    Inventors: Raetaek Oh, Hyunwoo Lee
  • Patent number: 10072469
    Abstract: The system and method for remediation of oil-contaminated sand provides for washing and separation of sand from oil and oil-based contamination. The system includes a feed hopper for receiving a volume of oil-contaminated sand in communication with a cleaning tank for receiving the volume of oil-contaminated sand therefrom. A mechanical stirrer mixes the volume of oil-contaminated sand with a surfactant solution in the cleaning tank. An ultrasonicator ultrasonicates the volume of oil-contaminated sand and the surfactant solution in the cleaning tank to create a mixture of washed sand and oily wastewater. A collection tank then receives the mixture. A band filter covers an open upper end of the collection tank, such that the mixture of washed sand and oily wastewater is filtered to separate out the washed sand, which may then be collected. The separated oily wastewater is then collected in the collection tank.
    Type: Grant
    Filed: August 1, 2016
    Date of Patent: September 11, 2018
    Inventor: Meshari Almutairi
  • Patent number: 10059911
    Abstract: A method of producing washing hydrogen water in an embodiment, includes: a step of storing ammonia water in a first tank; a step of transferring the ammonia water from the first tank to a second tank; a step of diluting the transferred ammonia water with ultrapure water in the second tank; a step of mixing the diluted ammonia water into hydrogen water; and a washing step of washing an inside of the first tank by ultrapure water to remove fine particles derived from ammonia generated in the first tank.
    Type: Grant
    Filed: July 14, 2016
    Date of Patent: August 28, 2018
    Assignees: NOMURA MICRO SCIENCE CO., LTD., ACM RESEARCH (SHANGHAI), INC.
    Inventors: Takayuki Jizaimaru, David H. Wang
  • Patent number: 10062596
    Abstract: Disclosed herein are systems and methods for treating the surface of a microelectronic substrate, and in particular, relate to an apparatus and method for scanning the microelectronic substrate through a cryogenic fluid mixture used to treat an exposed surface of the microelectronic substrate. The fluid mixture may be expanded through a nozzle to form an aerosol spray or gas cluster jet (GCJ) spray may impinge the microelectronic substrate and remove particles from the microelectronic substrate's surface. In one embodiment, the fluid mixture may be maintained to prevent liquid formation within the fluid mixture prior to passing the fluid mixture through the nozzle. The fluid mixture may include nitrogen, argon, helium, neon, xenon, krypton, carbon dioxide, or any combination thereof.
    Type: Grant
    Filed: October 6, 2015
    Date of Patent: August 28, 2018
    Assignee: TEL FSI, INC.
    Inventors: Jeffery W. Butterbaugh, Chimaobi W. Mbanaso, David Scott Becker
  • Patent number: 10056235
    Abstract: A manufacturing method of a semiconductor device includes the steps of: (a) placing a semiconductor wafer over a stage provided in a chamber, the pressure in the inside of which is reduced by vacuum pumping; and (b) after the step (a), forming plasma in the chamber in a state where the semiconductor wafer is adsorbed and held by the stage, so that desired etching processing is performed on the semiconductor wafer. Herein, before the step (a), O2 gas, negative gas having an electronegativity higher than that of nitrogen gas, is introduced into the chamber to form O2 plasma in the chamber, thereby allowing the charges remaining over the stage to be eliminated.
    Type: Grant
    Filed: April 26, 2017
    Date of Patent: August 21, 2018
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Kotaro Horikoshi
  • Patent number: 10053244
    Abstract: The present invention provides a pipe for transferring powder material from a reservoir to a container e.g. prior to hot isostatic pressing. The pipe comprises a continuous outer wall and a concentric continuous inner wall enclosed within and spaced from the outer wall. The spacing between the inner and outer walls defines a flow channel extending from an inlet to an outlet. The radial cross sectional area of the outlet is greater than the cross sectional area of the inlet.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: August 21, 2018
    Assignee: ROLLS-ROYCE plc
    Inventor: Daniel Clark
  • Patent number: 10043682
    Abstract: Disclosed is an apparatus for treating a substrate. The apparatus includes a support unit that supports the substrate, and a treatment liquid supply unit that supplies a treatment liquid to the substrate, and the treatment liquid supply unit includes an injection unit that supplies the treatment liquid to the substrate supported by the support unit, a tank that accommodates the treatment liquid, a pipe connected to the tank, and a static electricity removing member that removes static electricity from the treatment liquid.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: August 7, 2018
    Assignee: Semes Co., Ltd.
    Inventors: Raetaek Oh, Hyunwoo Lee
  • Patent number: 10040955
    Abstract: The invention relates to a method for removing a substrate that is coated with an organic coated coating by means of ionogenic gel formation. In said method, a wet or dry organic coating that has not yet formed a film on the substrate is treated with an aqueous solution of a metal salt from main group I in the periodic table of the elements, a complexing agent and/or a basic compound having a pH value >10.
    Type: Grant
    Filed: February 3, 2015
    Date of Patent: August 7, 2018
    Assignee: Chemetall GmbH
    Inventors: Ron Eilinghoff, Michael Schwamb, Daniel Wasserfallen, Vera Sotke, Aliaksandr Frenkel, Stephanie Gerold, Wolfgang Bremser, Martin Droll, Oliver Seewald, Evgenija Niesen-Warkentin, Lars Schachtsiek, Manuel Traut
  • Patent number: 10035715
    Abstract: A dielectric barrier discharge lamp assembly for a fluid treatment system. The lamp assembly can include an inductive secondary, first and second electrodes coupled to the inductive secondary, and a lamp including a dielectric barrier interposed between the first and second electrodes. The dielectric barrier can define a discharge chamber including a discharge gas, and one of the first and second electrodes can extend within the discharge chamber. The inductive secondary can be adapted to receive power from a nearby inductive primary to promote a dielectric barrier discharge in the discharge chamber. The resulting dielectric barrier discharge can generate ultraviolet light for the treatment of air or water, or for other applications.
    Type: Grant
    Filed: September 29, 2016
    Date of Patent: July 31, 2018
    Inventors: Karlis Vecziedins, Michael E. Miles, Joshua K. Schwannecke, David A. Meekhof, Donovan L. Squires, William T. Stoner, Matthew J. Norconk, Richard B. Bylsma, Matthew J. Lilley
  • Patent number: 10025256
    Abstract: In an example implementation, a method of cleaning a silicon photoconductor includes contacting the silicon photoconductor with a base-peroxide solution, rinsing the silicon photoconductor with a liquid, and heating the silicon photoconductor to evaporate the liquid.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: July 17, 2018
    Assignee: HP Indigo B.V.
    Inventors: Reut Diamant, Sasi Moalem, Boaz Tagansky
  • Patent number: 10018113
    Abstract: A cleaning system and method use an ultrasound probe, a coupling mechanism, and a controller to clean equipment of a vehicle system. The ultrasound probe enters into an engine. The ultrasound probe emits ultrasound pulses and the coupling mechanism provides an ultrasound coupling medium between the ultrasound probe and one or more components of the engine. The controller drives the ultrasound probe to deliver the ultrasound pulse through the coupling medium to a surface of the one or more components of the engine. The ultrasound probe delivers the ultrasound pulse to remove deposits from the one or more components of the engine.
    Type: Grant
    Filed: November 11, 2015
    Date of Patent: July 10, 2018
    Assignee: GENERAL ELECTRIC COMPANY
    Inventors: Bernard Patrick Bewlay, Waseem Faidi, Peter William Lorraine, Mohamed Ahmed Ali, Siavash Yazdanfar, Ying Fan, Edward James Nieters, David Mills, Nicole Tibbetts
  • Patent number: 10016792
    Abstract: Provided are methods for cleaning processing tools from residue using laser ablation. Also provided are processing tools comprising non-metal base portions and protective optical layers that cover and shield these base portions from laser ablation. For example, a protective optical layer may reflect a laser beam used for ablating the tool. Methods of forming these protective optical layers on non-metal base portions are also provided. In some embodiments, this protective optical layer is the outermost layer exposed to the environment. Alternatively, the protective optical layer may be covered by a release layer. The release layer may be retained or removed during laser ablation. In some embodiments, light emitted by a processing tool during laser ablation is analyzed to determine performance of its protective optical layer. This feedback may be used to control the laser ablation such as to control orientation of the laser beam relative to the processing tool.
    Type: Grant
    Filed: October 14, 2016
    Date of Patent: July 10, 2018
    Assignee: The Boeing Company
    Inventors: Ashley C. Tracey, Anthony M. Westren, Kay Y. Blohowiak, Mark R. Brei, Tyler Jacob Zimmerman, Richard C. Barclay, Tara Kovacs Baker, Yuliya Shafranyuk
  • Patent number: 10016844
    Abstract: A laser is used to clean surfaces contaminated with chemical, biological, or radioactive substances. The laser directs a laser beam onto the surfaces and the ejecta is collected by a getter which can be a version of vacuum cleaner. A mobile system includes a laser transporting arm and collection system used in cleanup of metal and concrete surfaces contaminated with chemical, biological, or radioactive substances.
    Type: Grant
    Filed: January 16, 2015
    Date of Patent: July 10, 2018
    Assignee: Lawrence Livermore National Security, LLC
    Inventors: Joseph C. Farmer, Alexander M. Rubenchik
  • Patent number: 10016791
    Abstract: A floor scrubbing apparatus includes a reservoir for an aqueous salt solution disposed in the floor scrubbing apparatus. An immersion device comprising a submersible housing with at least two iridium-coated electrodes spaced apart from each other within the submersible housing is adapted to be immersed into the reservoir. The floor scrubbing apparatus also includes a control module electrically coupled to the electrodes, wherein the control module controls application of electricity to cause a first electrode to be positively charged and a second electrode to be negatively charged.
    Type: Grant
    Filed: January 11, 2017
    Date of Patent: July 10, 2018
    Assignee: GENEON TECHNOLOGIES LLC
    Inventors: John P. Shanahan, Remigio Benavides Gonzalez
  • Patent number: 10020217
    Abstract: Disclosed herein are systems and methods for treating the surface of a microelectronic substrate, using a cryogenic fluid mixture used to treat an exposed surface of the microelectronic substrate. The fluid mixture may be expanded through a nozzle to form an aerosol spray or gas cluster jet (GCJ) spray may impinge the microelectronic substrate and remove particles from the microelectronic substrate's surface. The fluid mixture may include nitrogen, argon, helium, neon, xenon, krypton, carbon dioxide, or any combination thereof. The incoming fluid mixture may be maintained pressure greater than atmospheric pressure and at a temperature greater than the condensation temperature of the fluid mixture. The fluid mixture may be expanded into the treatment chamber to form an aerosol or gas cluster spray. In this embodiment, the nozzle may be positioned within 50 mm of the microelectronic substrate during the treatment, more preferably within 10 mm of the microelectronic substrate.
    Type: Grant
    Filed: October 6, 2015
    Date of Patent: July 10, 2018
    Assignee: TEL FSI, INC.
    Inventors: Jeffery W. Butterbaugh, Chimaobi W. Mbanaso, David Scott Becker
  • Patent number: 10014186
    Abstract: In accordance with an embodiment, a substrate treatment method includes bringing a first metallic film on a substrate into contact with a first liquid, mixing a second liquid into the first liquid, and bringing the first metallic film or a second metallic film different from the first metallic film into contact with a liquid in which the first liquid and the second liquid are mixed together to etch the first or second metallic film. The first liquid includes an oxidizing agent, a complexing agent, and water (H2O) of a first content rate to etch the first metallic film. The second liquid includes water (H2O) at a second content rate higher than the first content rate after the etching has started.
    Type: Grant
    Filed: January 6, 2016
    Date of Patent: July 3, 2018
    Assignee: Toshiba Memory Corporation
    Inventors: Yuya Akeboshi, Hiroshi Tomita, Hisashi Okuchi, Yasuhito Yoshimizu, Hiroaki Yamada
  • Patent number: 10004593
    Abstract: Intraocular implants and methods of making intraocular implants are provided. The intraocular implant can include a lens body having a lens material and a mask having a mask material. The lens body can be secured to the mask. The mask material can include a modulus of elasticity that is greater than or equal to a modulus of elasticity of the lens material.
    Type: Grant
    Filed: August 7, 2014
    Date of Patent: June 26, 2018
    Assignee: AcuFocus, Inc.
    Inventors: R. Kyle Webb, Daniel David Siems, Adam C. Reboul, Patrick H. Benz, Bruce Arthur Christie
  • Patent number: 10006704
    Abstract: Disclosed is an apparatus for drying a material, the apparatus including: an air-delivery enclosure with an air inlet and an air outlet through which forced air is directed toward the material; and an ultrasonic transducer connected to the air outlet of the air-delivery enclosure, the ultrasonic transducer including: a first inner surface; a second inner surface, the second inner surface facing the first inner surface, the first inner surface and the second inner surface defining an airflow path through the ultrasonic transducer; a first groove defined in a first inner surface, the first groove including a first flat portion; and a second groove defined in a second inner surface, the second groove including a second flat portion.
    Type: Grant
    Filed: April 28, 2015
    Date of Patent: June 26, 2018
    Assignee: Heat Technologies, Inc.
    Inventor: Zinovy Plavnik
  • Patent number: 9993851
    Abstract: An ultrasonic cleaning apparatus and method, the ultrasonic cleaning apparatus (10) comprising a tank (12) for in use receiving a cleaning liquid and for receiving an item to be cleaned in a cleaning region (16) thereof, a transducer (21) arranged, when driven, to direct ultrasonic pressure waves into cleaning liquid received in the tank (12) and a controller (30) arranged in use to drive the transducer (21), a gas introducer (40) arranged to in use provide a supply of gas into cleaning liquid in the tank so that macroscopic bubbles of gas are produced wherein the gas introducer (40) provides a plurality of bubble sources distributed below the cleaning region of the tank. The apparatus further comprises an assembly arranged in use to enable movement of the item to be cleaned in the cleaning region.
    Type: Grant
    Filed: September 9, 2014
    Date of Patent: June 12, 2018
    Assignee: ALPHASONICS (ULTRASONIC CLEANING SYSTEMS) LTD.
    Inventor: David Stanley Jones
  • Patent number: 9993849
    Abstract: A container is disclosed. The container includes a top portion and a bottom portion, both including a mesh portion, at least one hinging feature attached to the bottom portion, wherein the at least one hinging feature hingably attaches the top portion to the bottom portion, wherein the top portion and the bottom portion having an open configuration and a closed configuration and wherein the top portion and the bottom portion, when in the closed configuration, forming an inner portion, also a latching mechanism wherein when the latching mechanism is in a locked position the top portion and bottom portion are locked into the closed configuration, also at least one spring holder attached to the at least one hinging feature and located within the inner portion wherein when the top portion and bottom portion move from the closed position to the open position, the at least one spring holder lifts upwards.
    Type: Grant
    Filed: July 17, 2017
    Date of Patent: June 12, 2018
    Assignee: DEKA Products Limited Partnership
    Inventors: Dean Kamen, David H. Bellman, Alexander R. Therrien, Jared N. Farlow, Keith D. Violette
  • Patent number: 9991129
    Abstract: Systems and methods of etching a semiconductor substrate may include concurrent exposure of the semiconductor substrate to a chlorine-containing precursor and ultraviolet (UV) light. The semiconductor substrate may include exposed amorphous silicon. The semiconductor substrate may further include exposed crystalline silicon or underlying crystalline silicon. The methods may further include removing amorphous silicon faster than crystalline silicon.
    Type: Grant
    Filed: May 23, 2017
    Date of Patent: June 5, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Geetika Bajaj, Prerna Sonthalia Goradia, Robert Jan Visser
  • Patent number: 9966266
    Abstract: An apparatus for semiconductor wafer treatment includes a wafer holding unit configured to receive a single wafer, at least a solution supply unit configured to apply a solution onto the wafer and an irradiation unit configured to emit irradiation to the wafer. The irradiation unit further includes at least a plurality of first light sources configured to emit irradiation in FIR range and a plurality of second light sources configured to emit irradiation in UV range.
    Type: Grant
    Filed: April 25, 2016
    Date of Patent: May 8, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Yu-Ying Lin, Chueh-Yang Liu, Yu-Ren Wang, Chun-Wei Yu, Kuang-Hsiu Chen, Yi-Liang Ye, Hsu Ting, Neng-Hui Yang
  • Patent number: 9960030
    Abstract: A method for the surface treatment of a substrate surface of a substrate with the following steps: arrangement of the substrate surface in a process chamber, bombardment of the substrate surface with an ion beam, generated by an ion beam source and aimed at the substrate surface, to remove impurities from the substrate surface, whereby the ion beam has a first component, introduction of a second component into the process chamber to bind the removed impurities. A device for the surface treatment of a substrate surface of a substrate with: a process chamber for receiving the substrate, an ion beam source for generating an ion beam that has a first component and is aimed at the substrate surface to remove impurities from the substrate surface, means to introduce a second component into the process chamber to bind the removed impurities.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: May 1, 2018
    Assignee: EV GROUP E. THALLNER GMBH
    Inventor: Nasser Razek
  • Patent number: 9956595
    Abstract: An ultrasonic cleaner is provided. The ultrasonic cleaner includes: a first ultrasonic vibrator configured to generate a first ultrasonic wave; a first oscillator configured to drive the first ultrasonic vibrator; a wash tank configured to store a detergent solution; and an attenuation mechanism configured to damp vibration of the wash tank. The wash tank includes a parabolic surface which is a recessed surface facing a vibration surface of the first ultrasonic vibrator, and is configured to reflect the first ultrasonic wave to a focal position where an object to be cleaned is placed. The vibration of the wash tank is generated by the first ultrasonic wave impinging on the wash tank.
    Type: Grant
    Filed: July 26, 2016
    Date of Patent: May 1, 2018
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Takayasu Sato, Yoji Sato
  • Patent number: 9958771
    Abstract: A method and apparatus for removing a pellicle from a photomask wherein the adhesive between the pellicle frame and photomask is cooled sufficiently to allow the adhesive property of the adhesive to diminish to the point where the adhesive will release from the photomask with little or no mechanical force and leaving minimal adhesive on the photomask. The adhesive is cooled by way of manifolds containing coolant being brought in contact with the pellicle frame or by way of a coolant spray nozzles spraying coolant directly onto the pellicle frame.
    Type: Grant
    Filed: June 23, 2016
    Date of Patent: May 1, 2018
    Assignee: RAVE LLC
    Inventors: Brian J. Grenon, James Boyette, Alexander M. Figliolini
  • Patent number: 9953826
    Abstract: A method for cleaning a substrate includes supplying to a substrate a film-forming processing liquid which includes a volatile component and forms a film on the substrate, vaporizing the volatile component in the film-forming processing liquid such that the film-forming processing liquid solidifies or cures on the substrate and forms a processing film on the substrate, supplying to the substrate having the processing film a strip-processing liquid which strips the processing film from the substrate, and supplying to the processing film formed on the substrate a dissolving-processing liquid which dissolves the processing film after the supplying of the strip-processing liquid.
    Type: Grant
    Filed: November 12, 2014
    Date of Patent: April 24, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Miyako Kaneko, Keiji Tanouchi, Takehiko Orii, Itaru Kanno, Meitoku Aibara, Satoru Tanaka
  • Patent number: 9945023
    Abstract: The invention is directed at sputter targets including 50 atomic % or more molybdenum, a second metal element of niobium or vanadium, and a third metal element selected from the group consisting of titanium, chromium, niobium, vanadium, and tantalum, wherein the third metal element is different from the second metal element, and deposited films prepared by the sputter targets. In a preferred aspect of the invention, the sputter target includes a phase that is rich in molybdenum, a phase that is rich in the second metal element, and a phase that is rich in the third metal element.
    Type: Grant
    Filed: March 26, 2015
    Date of Patent: April 17, 2018
    Assignee: H.C. STARCK, INC.
    Inventors: Gary Alan Rozak, Mark E. Gaydos, Patrick Alan Hogan, Shuwei Sun
  • Patent number: 9937466
    Abstract: Apparatuses for controlling emissions of carbon capture facilities and associated methods are disclosed that generally involve a chamber defining at least one washwater packing. The exemplary apparatuses further include at least one washwater return line, a UV treatment zone and a hydrogen peroxide treatment zone. The UV treatment zone generally receives UV energy sufficient to substantially destroy a first emission compound and the hydrogen peroxide treatment zone generally receives a hydrogen peroxide medium sufficient to substantially oxidize a second emission compound to a less volatile final product. An alternative exemplary apparatus generally involves a UV treatment zone and an ozonation treatment zone, further including a hydrogen peroxide treatment zone applied within the ozonation treatment zone. The exemplary methods generally include applying at least one of a UV treatment, a hydrogen peroxide treatment and an ozonation treatment.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: April 10, 2018
    Assignee: Yale University
    Inventor: William A. Mitch
  • Patent number: 9915791
    Abstract: Laser polishing is achieved by directing laser beam perpendicular at the fiber end face in a connectorized optical fiber having a metal ferrule. The spot size of the laser beam is larger than the bare optical fiber diameter, providing a more uniform spatial distribution of the radiation energy over the fiber end face. The metal ferrule provides heat conduction to prevent excessive heat built up at the fiber tip, which would lead to undesirable surface defects and geometries. The connectorized optical fiber may be pre-shaped prior to laser polishing. Subsequent laser polishing flattens the fiber end face.
    Type: Grant
    Filed: November 12, 2015
    Date of Patent: March 13, 2018
    Assignee: NANOPRECISION PRODUCTS, INC.
    Inventors: Ryan H. Woodward, Yang Chen, Robert Ryan Vallance, Eric Jaquay
  • Patent number: 9911578
    Abstract: A plasma deposition chamber is disclosed. A substrate support for supporting a surface to be processed is in the chamber. A processing head including an array of plasma microchambers is also in the chamber. Each of the plasma microchambers includes an open side disposed over at least a first portion of the surface to be processed. The open side has an area less than an entire area of the surface to be processed. A process gas source is coupled to the chamber to provide a process gas the array of plasma microchambers. A radio frequency power supply is connected to at least one electrode of the processing head. The array of plasma microchambers is configured to generate a plasma using the process gas to deposit a layer over the at least first portion of the surface to be processed. A method for performing a plasma deposition is also disclosed.
    Type: Grant
    Filed: February 10, 2014
    Date of Patent: March 6, 2018
    Assignee: Lam Research Corporation
    Inventors: Richard Gottscho, Rajinder Dhindsa, Mukund Srinivasan