Including Acidic Agent Patents (Class 134/3)
  • Patent number: 8940103
    Abstract: Provided is a method for a resist removal system comprising a processing chamber and treatment liquid delivery system for single substrate processing. A primary stripping chemical is flowed in the treatment liquid delivery system at a primary temperature and flow rate; a secondary stripping chemical is injected at a first mixing point at a secondary temperature and flow rate. A tertiary stripping chemical is injected at a second mixing point at a tertiary temperature and a tertiary flow rate. The treatment liquid is dispensed onto a portion of the surface of the substrate wherein one or more of the primary temperature, secondary temperature, tertiary temperature, the primary flow rate, the secondary flow rate, and the tertiary flow rate are adjusted to meet a target strip rate and selectivity of strip over etch of silicon nitride and silicon oxide.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Ian J. Brown
  • Publication number: 20150013716
    Abstract: In a method for prevention of yellowing on a surface of a steel sheet subjected to re-pickling, washing with water and drying after a surface of a continuously annealed steel sheet is pickled to remove Si-containing oxide layer from a surface layer of the steel sheet, the surface of the steel sheet is held at a wet state between the pickling and the re-pickling and between the re-pickling and the washing, and more preferably the washing is carried out with water having an iron ion concentration decreased to not more than 20 g/L, whereby the yellowing on the surface of the steel sheet after the pickling is prevented. Thus, cold rolled steel sheets being excellent in not only the appearance quality but also the phosphatability and corrosion resistance after painting are manufactured stably.
    Type: Application
    Filed: January 16, 2013
    Publication date: January 15, 2015
    Inventors: Hiroyuki Masuoka, Satoru Ando, Shigeyuki Aizawa, Kazuki Nakazato, Shoichiro Taira
  • Patent number: 8932874
    Abstract: The invention is directed towards methods and compositions for identifying the amount of ammonium acid in a buffered oxide etching composition. In buffered oxide etching compositions it is very difficult to measure the amount of ammonium acid because it has varying equilibriums and it is toxic so it hard to handle and sample. When used to manufacture microchips however, incorrect amounts of ammonium acid will ruin those chips. The invention utilizes a unique method of spectrographically measuring the ammonium acid when in contact with added chromogenic agents to obtain exact measurements that are accurate, immediate, and safe.
    Type: Grant
    Filed: July 2, 2014
    Date of Patent: January 13, 2015
    Assignee: Nalco Company
    Inventors: Amy M. Tseng, Brian V. Jenkins, Robert M. Mack
  • Patent number: 8926759
    Abstract: An object of the invention is to remove effectively metallic contaminants adhering to the glass substrate surfaces without increasing roughness of the glass substrate surfaces in the glass substrate for a magnetic disk. In a manufacturing method of a glass substrate for a magnetic disk, a cleaning step comprising a treatment of contacting the glass substrate with a cleaning liquid containing peroxodisulfate and having a pH of not less than 2 and not more than 4 is appended. In addition, an example of the cleaning liquid can be prepared by adding sodium peroxodisulfate to an acidic solution.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: January 6, 2015
    Assignee: Hoya Corporation
    Inventors: Takuhiro Hirakawa, Yasunari Hirano, Kouichi Tamoto, Tomoyuki Yamaguchi
  • Publication number: 20150000697
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Application
    Filed: July 1, 2014
    Publication date: January 1, 2015
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Publication number: 20150000696
    Abstract: Stripping a metallic bond coat from an article using a wet chemical process. An article removed from service and having a metallic bond coat applied over a surface of its metallic substrate is provided. The metallic bond coat is used to improve the adhesion of a TBC to the article, so grit blasting to first remove any TBC applied over the bond coat and which still remains on the article initially may be required. The bond coated article is then immersed in an acid solution of HCl/H3PO4 at a predetermined temperature for a predetermined amount of time, the HCl/H3PO4 solution reacting with the bond coat applied over the metallic substrate to form a smut on the surface. The article is then removed from the HCl/H3PO4 solution and quickly immersed in a solution of NaOH for a predetermined amount of time to at least partially desmut the surface.
    Type: Application
    Filed: July 1, 2013
    Publication date: January 1, 2015
    Inventors: John David WARD, JR., Liming ZHANG
  • Publication number: 20150005216
    Abstract: The present invention relates to the use of solutions containing glutamic acid N,N-diacetic acid or a salt thereof (GLDA) and/or methylglycine N,N-diacetic acid or a salt thereof (MGDA) in treating subterranean formations, wherein the solutions contact carbon steel-containing equipment, and to a system containing a carbon steel-containing material in contact with a solution containing glutamic acid N,N-diacetic acid or a salt thereof (GLDA) and/or methylglycine N,N-diacetic acid or a salt thereof (MGDA), at elevated temperatures and/or employing carbon steel types as usually found in subterranean formations.
    Type: Application
    Filed: February 11, 2013
    Publication date: January 1, 2015
    Applicant: Akzo Nobel Chemicals International B.V.
    Inventors: Cornelia Adriana De Wolf, Albertus Jacobus Maria Bouwman, Hisham Nasr-El-Din
  • Patent number: 8920569
    Abstract: A method for quickly removing pollutants adhered to a predetermined optical member in an optical system. To remove the pollutants adhered to a lens (32A) disposed at the upper end of a projection optical system (PL), a cylindrical protecting member (53) is disposed through the openings in a reticle stage (22) and a reticle base (23). The bottom surface of a support section (56) at the tip section of a rod section (58) is brought into contact with the surface of the lens (32A) through the inner surface of the protecting member (53). A wiping cloth soaked with a washing solution containing hydrofluoric acid is attached to the bottom surface of the support section (56). The support section (56) is reciprocated via the rod section (58) to remove the pollutants on the lens (32A) with the wiping cloth.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: December 30, 2014
    Assignee: Nikon Corporation
    Inventors: Shunji Watanabe, Masato Hamatani, Tatsuya Kitamoto
  • Publication number: 20140352717
    Abstract: The invention relates to a method for decomposing an oxide layer containing chromium, iron, nickel, and radionuclides by means of an aqueous oxidative decontamination solution, which contains permanganic acid and a mineral acid and which flows in a circuit (K1), wherein the oxidative decontamination solution is set to a pH value ?2.5.
    Type: Application
    Filed: September 20, 2012
    Publication date: December 4, 2014
    Inventors: Horst-Otto Betholdt, Andreas Loeb, Hartmut Runge, Dieter Stanke
  • Patent number: 8894774
    Abstract: A composition of matter and method to remove excess material during the manufacturing of semiconductor devices includes providing a substrate; applying a metal chelator mixture to the substrate, where the metal chelator mixture comprising a metal chelator and a solvent, where the metal chelator binds to the platinum residue, to render the platinum residue soluble; and rinsing the metal chelator mixture from the substrate to remove the platinum residue from the silicide.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: November 25, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Anh Duong
  • Publication number: 20140338696
    Abstract: The invention relates to a process for dissolving a chromium, iron, nickel, zinc and radionuclides containing oxide layer, in particular for breaking down oxide layers deposited on inner surfaces of systems and components of a nuclear power plant, by means of an aqueous decontamination solution containing methanesulfonic acid, which flows in a loop, wherein in regular intervals small amounts of permanganic acid are added, and following reaction of the permanganic acid a second loop is added on in bypass and the dissolved cations and anions are removed by ion-exchange resins from the decontamination solution.
    Type: Application
    Filed: March 7, 2014
    Publication date: November 20, 2014
    Inventors: Horst-Otto BERTHOLD, Alexander Landner, Andreas LOEB, Hartmut Runge, Dieter STANKE
  • Publication number: 20140334965
    Abstract: The present invention relates to an acidic aqueous solution suitable for the pickling of stainless steel grades that comprises accelerators based on water soluble inorganic compounds comprising at least one nitrogen atom in an oxidation state in the range of ?2 to ??. The present invention further encompasses a process for the pickling of stainless steel that makes use of a pickling solution comprising the above-mentioned accelerators.
    Type: Application
    Filed: July 29, 2014
    Publication date: November 13, 2014
    Inventors: Ioannis DEMERTZIS, Mauro RIGAMONTI, Paolo GIORDANI
  • Patent number: 8876978
    Abstract: An object is to reduce changes in mechanical properties of a gas turbine blade base material during repair or regeneration of a gas turbine blade. For this purpose, a gas turbine blade after being operated is washed by being immersed into a strong alkaline washing solution, and the gas turbine blade after being washed with the strong alkaline washing solution is washed with water. The gas turbine blade after being washed with water is then washed by being immersed into a weak acid washing solution, and the gas turbine blade after being washed with the weak acid washing solution is subjected to heat treatment. The gas turbine blade after the heat treatment is then immersed into a strong acid washing solution, whereby the coating formed on the surface of the gas turbine blade is removed.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: November 4, 2014
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Tetsuji Kawakami, Ikumasa Koshiro, Rumi Haruna, Yoshitaka Uemura
  • Patent number: 8864915
    Abstract: A method of processing a substrate having a transparent conductive oxide disposed thereon, including: exposing the substrate to a first cleaning solution comprising hydrogen peroxide and ammonium citrate; exposing the substrate to a second cleaning solution having a pH within a range from about 6 to about 7, the second cleaning solution different than the first cleaning solution; agitating the second cleaning solution; and depositing a silicon-containing film on the transparent conductive oxide.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: October 21, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Renhe Jia, Adam Brand, Liming Zhang, Dapeng Wang, Tzay-Fa Su, Vijay Parihar
  • Publication number: 20140305468
    Abstract: The present invention relates to a method for effectively exfoliating a coating layer from the surface of the conductive substrate of a used electrode for electrolysis comprising an insoluble metal electrode having the coating layer containing electrode substance comprising noble metals and/or their metal oxides on the surface of the used electrode substrate comprising valve metals, such as titanium and tantalum or valve metal alloys, and then to recover the electrode substances and/or electrode substrate for recycling use. The method for exfoliating comprises the steps of treating the insoluble metal electrode surface having the coating layer, in succession, with an alkali treatment process using a caustic alkali aqueous solution, a heating and a baking process and an acid treatment process, the alkali treatment process being conducted by applying an alkali treatment solution prepared by adding thickener to the caustic alkali aqueous solution.
    Type: Application
    Filed: November 20, 2012
    Publication date: October 16, 2014
    Inventors: Nobuyuki Kawaguchi, Kenichi Ueno, Tomotsu Hayashi, Miho Kagami
  • Patent number: 8834636
    Abstract: The pickling of a continuously running steel strip and, more particularly, a pickling method, includes centralized control of all of the pickling operations. The invention further includes an apparatus for implementing the pickling process.
    Type: Grant
    Filed: November 28, 2008
    Date of Patent: September 16, 2014
    Assignee: Siemens VAI Metals Technologies SAS
    Inventors: Philippe Barbieri, Sandra Crowther, Jean-François Clavel, Jacques Leroyer
  • Patent number: 8801867
    Abstract: A method for cleaning process apparatus used for production of liquids, especially for cleaning filters, for example membrane filters. The apparatus is contacted with a solution of periodate. It is especially preferred that the cleaning process is carried out at a temperature between 15 and 95° C.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: August 12, 2014
    Assignee: X-Flow B.V.
    Inventors: Arie Cornelis Besemer, Elmar Van Mastrigt, André Mepschen
  • Publication number: 20140216499
    Abstract: A method of cleaning a substrate such as semiconductor substrate for IC fabrication is described that includes cleaning the semiconductor substrate with a mixture of ozone and one of an acid and a base. Exemplary acids and bases include HCl, HF, and NH4OH. The cleaning mixture may further include de-ionized water. In an embodiment, the mixture is sprayed onto a heated substrate surface.
    Type: Application
    Filed: February 1, 2013
    Publication date: August 7, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chia-Wen Li, Bo-Wei Chou, Shao-Yen Ku, Chen Ming-Jung
  • Patent number: 8759230
    Abstract: The invention relates to an arrangement of electronic semiconductor components on a carrier system for treating the semiconductor components with a liquid medium. A semiconductor component is detachably mounted on the carrier system with the active side thereof in such a way that the arrangement comprises a gap at least in the edge region and partially between the semiconductor components and the carrier system. The aim of the invention is to provide a detachable arrangement of electronic semiconductor components on a mechanically stable carrier system for safely handling the semiconductor components during the production process, wherein the capillarity of the gap between the semiconductor components and the carrier system is reduced in a controlled manner, thus preventing the damaging effect of a liquid medium seeping into the gap. To this end, the surface of the carrier system is shaped in such a way that the gap is widened along the entire edge region thereof.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: June 24, 2014
    Assignee: Infineon Technologies AG
    Inventors: Stephan Bradl, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Patent number: 8758521
    Abstract: A semiconductor substrate cleaning method includes cleaning a semiconductor substrate formed with a line-and-space pattern, rinsing the substrate, supplying the rinse water to rinse the substrate, and drying the substrate. The rinsing includes supplying deionized water and hydrochloric acid into a mixing section to mix the deionized water and the hydrochloric acid into a mixture, heating the mixture in the mixing section by a heater, detecting a pH value and a temperature of the mixture by a pH sensor and a temperature sensor respectively, adjusting an amount of hydrochloric acid supplied into the mixing section so that the rinse water has a predetermined pH value indicative of acidity, and energizing or de-energizing the heater so that the temperature of the mixture detected by the temperature sensor reaches a predetermined temperature, thereby producing the rinse water which has a temperature of not less than 70° C. and is acidic.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: June 24, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshihiro Ogawa, Hajime Onoda, Hiroshi Kawamoto
  • Publication number: 20140165686
    Abstract: A component for a steel pickling apparatus is formed from an alloy that predominately comprises niobium and tantalum. The component may be a heat exchanger component, such as a tube or tubesheet liner, formed from the alloy that predominately comprises niobium and tantalum. Also, disclosed is a heat exchanger including the component, a system and method for pickling using the heat exchanger, and a method of manufacturing a steel product including the method of pickling.
    Type: Application
    Filed: December 12, 2013
    Publication date: June 19, 2014
    Applicant: TITAN Metal Fabricators
    Inventors: Thomas F. Ukolowicz, Steven F. Muscarella
  • Patent number: 8753450
    Abstract: One exemplary embodiment can be a process for treating an interior of equipment for an isomerization unit. Generally, the isomerization unit includes at least one of a drying zone, an isomerization reaction zone, and a stabilizer zone, for receiving a feed stream. Usually, the feed stream includes one or more C4-C8 hydrocarbons. The process can include combining an anhydrous hydrogen stream and anhydrous organic chloride stream to form a hydrogen chloride feedstock, and passing the hydrogen chloride feedstock to a reaction zone containing a catalyst including at least one of nickel, palladium, and platinum on an alumina support to form a hydrogen chloride stream, and passing the hydrogen chloride stream upstream of the isomerization reaction zone.
    Type: Grant
    Filed: June 20, 2013
    Date of Patent: June 17, 2014
    Assignee: UOP LLC
    Inventors: Ralph Charles Norton, Dana K. Sullivan, Jocelyn C. Daguio
  • Patent number: 8747564
    Abstract: A residue-removing solution for removing residues after a dry process, which includes an amine salt of a monocarboxylic acid and/or a salt of a polycarboxylic acid that forms a 7- or more-membered ring chelate with copper, and water, the residue-removing solution containing aqueous solution (A) or (B) as described herein. Also disclosed is a method for removing residues present on a semiconductor substrate after dry etching and/or ashing. Further, a method for manufacturing semiconductor devices is further disclosed, which includes subjecting a semiconductor substrate having Cu as an interconnect material, and a low dielectric constant film as an interlayer dielectric material, to dry etching and/or ashing; and bringing the processed semiconductor substrate into contact with the above residue-removing solution.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: June 10, 2014
    Assignee: Daikin Industries, Ltd.
    Inventor: Shingo Nakamura
  • Patent number: 8741070
    Abstract: Disclosed are a liquid processing method, a liquid processing apparatus, and a recording medium that can prevent convex portions of a target substrate from collapsing when a rinsing liquid is dried. A base surface of a target substrate is hydrophilized and the surfaces of convex portions become water-repellent by surface-processing the target substrate which includes a main body, a plurality of convex portions protruding from the main body, and a base surface formed between the convex portions on the substrate main body. Next, a rinsing liquid is supplied to the target substrate which has been subjected to the surface processing. Thereafter, the rinsing liquid is removed from the target substrate.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: June 3, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Nobutaka Mizutani, Tsutae Omori, Takehiko Orii, Akira Fujita
  • Patent number: 8741066
    Abstract: A process/method for cleaning wafers that eliminates and/or reduces pitting caused by standard clean 1 by performing a pre-etch and then passivating the wafer surface prior to the application of the standard clean 1. The process/method may be especially useful for advanced front end of line post-CPM cleaning. In one embodiment, the invention is a method of processing a substrate comprising: a) providing at least one substrate; b) etching a surface of the substrate by applying an etching solution; c) passivating the etched surface of the substrate by applying ozone; and d) cleaning the passivated surface of the substrate by applying an aqueous solution comprising ammonium hydroxide and hydrogen peroxide.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: June 3, 2014
    Inventors: Ismail Kashkoush, Thomas Nolan, Dennis Nemeth, Richard Novak
  • Patent number: 8741071
    Abstract: A process for treating the surface of a substrate in the manufacture of a semiconductor device. The process comprises providing a concentrated acid or base, a peroxide and water, and delivering the acid or base, the peroxide and the water to the surface of the substrate. The acid or base and the water are delivered separately to the surface of the substrate and allowed to mix on the surface, and the water is delivered in pulses. The present invention also provides an apparatus adapted to carry out this process.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: June 3, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Tony Vessa
  • Patent number: 8728941
    Abstract: Disclosed is a thin-film transistor (10) manufacturing method that includes a process for forming a nitrate film (12x) that includes residual nickel (22) on a surface thereof, by bringing a nitric acid solution into contact with a polysilicon layer (11x); and a process for removing the nitrate film (12x) that includes residual nickel (22) from the polysilicon layer (11x) surface. With this surface treatment process, a polysilicon layer (11) with reduced concentration of a surface residual nickel (22) is provided, and a thin-film transistor (10) having excellent surface smoothness is attained.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: May 20, 2014
    Assignees: Sharp Kabushiki Kaisha
    Inventors: Shigeki Imai, Takafumi Shimatani, Hikaru Kobayashi
  • Publication number: 20140123999
    Abstract: The invention relates to a method for producing an electrode, in particular a negative electrode, of an electrochemical cell having a metal substrate, wherein the method includes the steps of treating the metal substrate with UV irradiation and treating the metal substrate using an organic acid.
    Type: Application
    Filed: January 26, 2012
    Publication date: May 8, 2014
    Applicant: LI-TEC BATTERY GMBH
    Inventor: Tim Schaefer
  • Patent number: 8716028
    Abstract: The invention is directed towards methods and compositions for identifying the amount of hydrofluoric acid in a buffered oxide etching composition. In buffered oxide etching compositions it is very difficult to measure the amount of hydrofluoric acid because it has varying equilibriums and it is toxic so it hard to handle and sample. When used to manufacture microchips however, incorrect amounts of hydrofluoric acid will ruin those chips. The invention utilizes a unique method of spectrographically measuring the hydrofluoric acid when in contact with added chromogenic agents to obtain exact measurements that are accurate, immediate, and safe.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: May 6, 2014
    Assignee: Nalco Company
    Inventors: Amy Tseng, Brian V. Jenkins, Robert M. Mack
  • Publication number: 20140116464
    Abstract: Provided is a method for cleaning a metal gate semiconductor, by which a resist adhering to a semiconductor can be effectively stripped while etching of metal gates is suppressed. The method includes an ashing step (step s1) of ashing a photoresist on a semiconductor; and a persulfuric acid cleaning step (step s2) of bringing, after the ashing step, the semiconductor that has been subjected to the ashing step into contact with a sulfuric acid solution containing persulfuric acid, and thereby stripping the photoresist on the semiconductor from the semiconductor, while the sulfuric acid solution containing persulfuric acid used in the persulfuric acid cleaning step has a hydrogen peroxide concentration of 16 mM as O or less, a sulfuric acid concentration of from 90% by mass to 96% by mass, a liquid temperature of from 70° C. to 130° C., and a persulfuric acid concentration of from 0.50 mM as O to 25 mM as O.
    Type: Application
    Filed: June 22, 2012
    Publication date: May 1, 2014
    Applicant: KURITA WATER INDUSTRIES LTD.
    Inventors: Tatsuo Nagai, Haruyoshi Yamakawa
  • Publication number: 20140109931
    Abstract: A composition and method for removing copper-containing post-etch and/or post-ash residue from patterned microelectronic devices is described. The removal composition includes water, a water-miscible organic solvent, an amine compound, an organic acid, and a fluoride ion source. The compositions effectively remove the copper-containing post-etch residue from the microelectronic device without damaging exposed low-k dielectric and metal interconnect materials.
    Type: Application
    Filed: August 27, 2013
    Publication date: April 24, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS INC.
    Inventors: Yi Chia Lee, Madhukar Bhaskara Rao, Gautam Banerjee, Wen Dar Liu, Aiping Wu, Seiji Inaoka
  • Patent number: 8702868
    Abstract: A method for decontaminating nuclear plant surfaces, which have been contaminated with alpha emitters, is carried out subsequently to a decontamination process which is aimed at the removal of oxide layers. The surfaces are treated with an aqueous solution which contains a cationic or zwitterionic surfactant and oxalic acid. At least a part of the solution, after having acted on a surface, is conducted across an ion exchanger.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: April 22, 2014
    Assignee: Areva GmbH
    Inventors: Rainer Gassen, Christoph Stiepani, Horst-Otto Bertholdt, Bertram Zeiler
  • Patent number: 8691019
    Abstract: A process for cleaning a compound semiconductor wafer; the compound semiconductor wafer comprises, taking gallium arsenide (GaAs) as a representative, a group III-V compound semiconductor wafer. The process comprises the following steps: 1) treating the wafer with a mixture of dilute ammonia, hydrogen peroxide and water at a temperature not higher than 20° C.; 2) washing the wafer with deionized water; 3) treating the wafer with an oxidant; 4) washing the wafer with deionized water; 5) treating the wafer with a dilute acid solution or a dilute alkali solution; 6) washing the wafer with deionized water; and 7) drying the resulting wafer. The process can improve the cleanliness, micro-roughness and uniformity of the wafer surface.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: April 8, 2014
    Assignee: Beijing Tongmei Xtal Technology Co., Ltd.
    Inventors: Diansheng Ren, Qinghui Liu
  • Patent number: 8691023
    Abstract: In one aspect, a method of cleaning an electronic device manufacturing process chamber part is provided, including a) spraying the part with an acid; b) spraying the part with DI water; and c) treating the part with potassium hydroxide. Other aspects are provided.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: April 8, 2014
    Assignee: Quantum Global Technologies, LLP
    Inventors: Liyuan Bao, Samantha S. H. Tan, Anbei Jiang
  • Patent number: 8685169
    Abstract: Disclosed are a substrate processing apparatus, a substrate processing method and a storage medium, capable of removing contaminant materials from a substrate by using SPM liquid (sulfuric acid and hydrogen peroxide mixture) while preventing degradation of the function of the SPM liquid for removing the contaminant materials. The SPM liquid is filled in a processing bath and the substrate is immersed in the SPM liquid. A heating unit is provided in the circulation path to heat the SPM liquid. A hydrogen peroxide supply line supplements hydrogen peroxide to the SPM liquid in the circulation path. A control unit adjusts the temperature of the SPM liquid to the predetermined temperature in the range of 135° C. to 170° C. based on a temperature detection value and outputs a control signal to supplement the sulfuric acid to compensate for the SPM liquid as the SPM liquid is evaporated by heating.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: April 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hironobu Hyakutake, Toshihide Takashima
  • Patent number: 8685173
    Abstract: The present invention is generally directed toward methods of cleaning and descaling surfaces contaminated with food soils, especially clean-in-place systems. More particularly, the methods according to the present invention also provide for sanitizing of surfaces contaminated with food soils. Thus, there is provided a single cleaning cycle that may clean, sanitize, and descale food-soiled surfaces, and in certain embodiments, without the need for a pre-rinse step, using an acidic detergent composition comprising a fatty alkyl-1,3-diaminopropane or salt thereof in the presence of an acid selected from the group consisting of inorganic acids, organic acids, and mixtures thereof.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: April 1, 2014
    Assignee: DeLaval Holding AB
    Inventors: Fahim U. Ahmed, Bruno Van Den Bossche
  • Publication number: 20140076355
    Abstract: According to one embodiment, a treatment apparatus includes an electrolysis unit, an alkali addition unit, and a treatment unit. The electrolysis unit includes an anode electrode and a cathode electrode. The electrolysis unit is configured to electrolyze a solution containing an alkali containing no metal, hydrochloric acid, and water. The alkali addition unit is configured to further add the alkali containing no metal to a solution that has undergone the electrolysis. The treatment unit is configured to perform treatment of an object to be treated using a solution that has undergone the electrolysis and in which the alkali containing no metal is further added.
    Type: Application
    Filed: August 16, 2013
    Publication date: March 20, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hideaki HIRABAYASHI, Yuji NAGASHIMA, Masaaki HIRAKAWA
  • Publication number: 20140076356
    Abstract: The present invention relates to the formulation of a chemical, comprised of an ether solvent as the principal solvent, an ether or non-ether cosolvent, an acid, optionally a surfactant and optionally a corrosion inhibitor, dedicated to the complete and selective stripping by pure dissolution of photoresists (novolac and semi-novolac) of all thicknesses used in microelectronic component integration processes. Said solution is optimized to dissolve the polymer matrix while ensuring and protecting the physicochemical integrity of exposed materials such as metal interconnections (copper, aluminum), dielectrics (SiO2, MSQ, etc.) and adhesion and diffusion barriers (TiN, Ti, Ta, TaN, etc.). Furthermore, the singular cleaning properties and performance characteristics of these solutions make it possible to envisage the use thereof in a variety of industrial applications such as single wafer, batch, immersion and/or spray by simple adjustment of process time and temperature.
    Type: Application
    Filed: June 11, 2012
    Publication date: March 20, 2014
    Applicant: TECHNIC FRANCE
    Inventors: Jérôme Daviot, Philippe Vernin
  • Patent number: 8669189
    Abstract: Disclosed is a method for treating semiconductor wafer including: providing a layer that contains lanthanum oxide or a lanthanide oxide (e.g. Dy2O3, Pr2O3, Ce2O3) applying an aqueous solution, wherein the aqueous solution is carbonated water, whereby the layer that contains lanthanum oxide or a lanthanide oxide is removed at specific areas, so that the surface, on which the layer that contains lanthanum oxide or a lanthanide oxide has been deposited, is exposed.
    Type: Grant
    Filed: June 14, 2010
    Date of Patent: March 11, 2014
    Assignee: Lam Research AG
    Inventor: Kei Kinoshita
  • Patent number: 8668777
    Abstract: Mixtures containing concentrated sulfuric acid used for stripping photoresist from semiconductor wafer, such as SOM and SPM mixtures, are more quickly removed from a wafer surface using another liquid also containing high concentration of sulfuric acid, with the second liquid furthermore containing controlled small amounts of fluoride ion. The second liquid renders the wafer surface hydrophobic, which permits easy removal of the sulfuric acid therefrom by spinning and/or rinsing.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: March 11, 2014
    Assignee: Lam Research AG
    Inventors: Harald Okorn-Schmidt, Dieter Frank, Franz Kumnig
  • Publication number: 20140060574
    Abstract: The present invention discloses new chamber clean chemistries for low temperature, gas phase, in-situ removal of fluorine doped tin oxide (FTO) films. These new in-situ cleaning chemistries will enable solar glass and low-emissivity glass manufacturers to improve the quality of FTO films produced, as well as reduce costs associated manual cleaning of FTO deposition systems. The end result is increased production throughput and better quality FTO films. This is achieved by using gas phase, in-situ cleaning molecules, such as, but not limited to, HI, CH3I, and HBr, in the FTO deposition chamber to remove unwanted buildup of FTO from chamber walls and components. Significant revenue can be derived from this customer benefit through molecule and technology solution sales related to in-situ FTO TCO chamber cleaning.
    Type: Application
    Filed: September 3, 2013
    Publication date: March 6, 2014
    Applicant: MATHESON TRI-GAS
    Inventors: Carrie Wyse, Glenn Mitchell, Robert Torres, JR., Ramkumar Subramanian, Matt Shinriki
  • Publication number: 20140048100
    Abstract: The present invention provides a method for cleaning a semiconductor wafer, in which the method includes cleaning steps of HF cleaning, ozonated water cleaning and HF cleaning in this order at least one time, wherein in the HF cleaning carried out last in the method for cleaning the semiconductor wafer, cleaning is so carried out that an oxide film formed on a surface of the semiconductor wafer by the ozonated water is not entirely removed and to remain a part of a thickness thereof on the surface of the semiconductor wafer. As a result, a method for cleaning a semiconductor wafer in which a metal impurity level and a particle level can be reduced simultaneously in the cleaning of the semiconductor wafer is provided.
    Type: Application
    Filed: May 11, 2012
    Publication date: February 20, 2014
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Tatsuo Abe, Hitoshi Kabasawa
  • Patent number: 8641829
    Abstract: Disclosed is a substrate processing system, including: a processing chamber to process a substrate; a vaporizing unit to vaporize a material of liquid; a supply system to supply the processing chamber with gas of the material vaporized by the vaporizing unit; an exhaust system to exhaust an atmosphere in the processing chamber; and a cleaning liquid supply system to supply the vaporizing unit with cleaning liquid for cleaning a product deposited in the vaporizing unit, wherein the cleaning liquid supply system supplies at least two kinds of cleaning liquids into the vaporizing unit so that the product can be removed from the vaporizing unit by action of the two kinds of cleaning liquids on the product.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: February 4, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tomoki Horita, Kazuhiro Hirahara, Hironobu Miya, Atsuhiko Suda, Hirohisa Yamazaki
  • Patent number: 8636018
    Abstract: A microbubble cleaning system includes a tank in which a solution into which a product is immersed to clean the product is stored; supplying means for putting microbubbles into the solution and supplying the solution that includes the microbubbles into the tank; oil separating apparatus that collects bubbles that have risen to a surface of the solution stored in the tank as a result of cleaning the product, as well as a portion of the solution that is near the surface of the solution, in order to separate oil from the solution; generating means for generating a surface flow of the solution near the surface of the solution in order to remove the bubbles that have risen to the surface of the solution in the tank; and removing means for removing carbon dioxide from air that is used to generate the microbubbles by the supplying means.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: January 28, 2014
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Hiroshi Kozuka, Masahiro Inoue, Kanji Imura, Yuji Nemoto
  • Patent number: 8636848
    Abstract: The present invention relates to a vacuumable gel and the gel use to decontaminate surfaces, for example, radioactive decontamination. The gel is composed of a colloidal solution comprising: from 5 to 25 wt % of an inorganic viscosity modifier; from 0.01 to 0.2 wt % of a surfactant, preferably, a surfactant in an amount strictly below 0.1 wt %, wt % relative to the total weight of the gel; from 0.5 to 7 mol, per liter of gel, of an inorganic acid or base; and optionally from 0.05 to 1 mol, per liter of gel, of an oxidizer having a standard redox potential Eo greater than 1.4 V in a strong acid medium or of the reduced form of this oxidizer; the remainder being water. The gel may be applied, by spraying, to a surface to be decontaminated, and removed in the form of dry residues by suction or brushing after drying.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: January 28, 2014
    Assignees: Commissariat a l'Energie Atomique, Areva NC
    Inventors: Sylvain Faure, Paul Fuentes, Yvan Lallot
  • Publication number: 20140021056
    Abstract: The invention relates to a method for balancing a rotor, notably of a turbomachine, comprising a step of determining the unbalancing mass followed by a step of balancing by chemical machining of the rotor. More particularly, the chemical machining comprises the immersion of the rotor in a bath containing a chemical machining agent, the bath having a capacity of heterogeneous material removal (as a function of the depth of the bath), the rotor being oriented in the bath taking account of the said capacity of heterogeneous material removal so that the quantity of material removed from the rotor in the zone of the unbalancing mass is sufficient to balance the rotor.
    Type: Application
    Filed: July 19, 2013
    Publication date: January 23, 2014
    Applicant: SNECMA
    Inventors: Gabriela RODRIGUEZ ELIZONDO, Stephane Vitrac, Marcial Suarez Cabrera, Patrick Momier
  • Patent number: 8632691
    Abstract: Disclosed herein is an interface treatment method for germanium-based device, which belongs to the field of manufacturing technologies of ultra large scaled integrated (ULSI) circuits. In the method, the natural oxide layer on the surface of the germanium-based substrate is removed by using a concentrated hydrochloric acid solution having a mass percentage concentration of 15%˜36%, and dangling bonds of the surface are performed a passivation treatment by using a diluted hydrochloric acid solution having a mass percentage concentration of 5%˜10% so as to form a stable passivation layer on the surface. This method makes a good foundation for depositing a high-K (high dielectric constant) gate dielectric on the surface of the germanium-based substrate after cleaning and passivating, enhances quality of the interface between the gate dielectric and the substrate, and improves the electrical performance of germanium-based MOS device.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: January 21, 2014
    Assignee: Peking University
    Inventors: Ru Huang, Min Li, Xia An, Ming Li, Meng Lin, Xing Zhang
  • Publication number: 20140007903
    Abstract: A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer with one or more chemical removal agents to remove at least a portion of the metal protective layer from the reactor component. A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer to remove the metal protective layer from the reactor component, and determining a thickness of the reactor component following treatment.
    Type: Application
    Filed: July 31, 2013
    Publication date: January 9, 2014
    Applicant: Chevron Phillips Chemical Company LP
    Inventors: Dennis L. HOLTERMANN, Tin-Tack Peter CHEUNG, Christopher D. BLESSING, Lawrence E. HUFF, Joseph BERGMEISTER, III, Robert L. HISE, Geoffrey E. SCANLON, David W. DOCKTER
  • Publication number: 20140000650
    Abstract: The invention discloses a process for planarization of recessed structures filled with tin or a tin alloy which avoids the formation of dimples. Such structures can serve as solder deposits for stable and reliable solder joints in electronic devices.
    Type: Application
    Filed: February 9, 2012
    Publication date: January 2, 2014
    Applicant: ATOTECH DEUTSCHLAND GMBH
    Inventors: Neal Wood, Dirk Tews
  • Publication number: 20140000649
    Abstract: A cleaning system and method for cleaning substrates having at least one semiconductor material thereon, which includes a transporting conveyor, an acid bath module and a hanging conveyor for suspending acid resistant blocks in the spaces between substrates as they are transported through the cleaning system. The acid resistant blocks shield the semiconductor materials from acid contact while a lower portion of the substrate is submerged in the acid cleaning solution.
    Type: Application
    Filed: December 19, 2012
    Publication date: January 2, 2014
    Applicant: First Solar, Inc.
    Inventor: First Solar, Inc.