Including Acidic Agent Patents (Class 134/3)
  • Publication number: 20110303238
    Abstract: A process is provided for chemically decontaminating the surface of a metallic component. In a first treatment step, an oxide layer formed on the component by corrosion of the material of the component is detached from the surface of the component with a first aqueous treatment solution containing an organic decontamination acid. In a subsequent second treatment step, the surface which is at least partially freed of the oxide layer is treated with an aqueous solution containing an active component for removing particles which adhere to the surface. The active component is formed of at least one anionic surfactant from the group including sulphonic acids, phosphonic acids, carboxylic acids and salts of those acids.
    Type: Application
    Filed: August 17, 2011
    Publication date: December 15, 2011
    Applicant: AREVA NP GMBH
    Inventors: RAINER GASSEN, LUIS SEMPERE BELDA, WERNER SCHWEIGHOFER, BERTRAM ZEILER
  • Patent number: 8075701
    Abstract: A process for reconditioning a multi-component electrode comprising a silicon electrode bonded to an electrically conductive backing plate is provided. The process comprises: (i) removing metal ions from the multi-component electrode by soaking the multi-component electrode in a substantially alcohol-free DSP solution comprising sulfuric acid, hydrogen peroxide, and water and rinsing the multi-component electrode with de-ionized water; (ii) polishing one or more surfaces of the multi-component electrode following removal of metal ions there from; and (iii) removing contaminants from silicon surfaces of the multi-component electrode by treating the polished multi-component electrode with a mixed acid solution comprising hydrofluoric acid, nitric acid, acetic acid, and water and by rinsing the treated multi-component electrode with de-ionized water. Additional embodiments of broader and narrower scope are contemplated.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: December 13, 2011
    Assignee: Lam Research Corporation
    Inventors: Armen Avoyan, Yan Fang, Duane Outka, Hong Shih, Stephen Whitten
  • Patent number: 8062430
    Abstract: Filters used in the beverage industry fouled by polyphenol-protein complexes and carbohydrate polymers can be cleaned by treating the filters either with the following methods: Solubilization of at least part of the carbohydrate polymers followed by a treatment of the resulting polyphenol protein complex with an oxidative chemical. Treatment of the fouled filters through a back-wash method using an oxidative chemical. In both cases it is not necessary to rinse the membranes after cleaning with a reductive chemical.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: November 22, 2011
    Assignee: Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek TNO
    Inventors: Jan Matthijs Jetten, Theodoor Maximiliaan Slaghek
  • Patent number: 8062429
    Abstract: The present invention relates to aqueous compositions comprising amidoxime compounds and methods for cleaning plasma etch residue from semiconductor substrates including such dilute aqueous solutions. The compositions of the invention may optionally contain one or more other acid compounds, one or more basic compounds, and a fluoride-containing compound and additional components such as organic solvents, chelating agents, amines, and surfactants. The invention also relates to a method of removing residue from a substrate during integrated circuit fabrication.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: November 22, 2011
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 8063006
    Abstract: The invention relates to an aqueous cleaning composition for wafers with copper wires that have been treated by chemical mechanical planarization in an integrated circuit processing, comprising 0.1 to 15 wt % of a nitrogen-containing heterocyclic organic base, 0.1 to 35 wt % of an alcohol amine and water. Upon contact with copper-containing semiconductor wafers that have been treated by chemical mechanical planarization for an effective period of time, the aqueous cleaning composition can effectively remove residual contaminants from the surfaces of the wafers, and simultaneously provide the copper-containing semiconductor wafers with a better surface roughness.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: November 22, 2011
    Assignee: Epoch Material Co., Ltd.
    Inventors: Chien Ching Chen, Wen Cheng Liu, Jing-Chiuan Shiue, Teng Yan Huo
  • Patent number: 8052797
    Abstract: A method for removing inorganic foreign matters from the surface of a substrate made of silicon or glass or the surface of an inorganic coating formed on the surface of the substrate, which includes applying a light beam in a wavelength range which makes the light absorption coefficient of at least one of a material of the substrate, a material of the inorganic coating and the inorganic foreign matters be at least 0.01/cm, to the surface of the substrate or to the surface of the inorganic coating in an application amount of at least 10 J/cm2 in an oxygen- or ozone-containing atmosphere, and exposing the surface of the substrate or the surface of the inorganic coating having a negative surface potential to an acidic solution having a pH ?6.
    Type: Grant
    Filed: October 24, 2006
    Date of Patent: November 8, 2011
    Assignee: Asahi Glass Company, Limited
    Inventor: Yoshiaki Ikuta
  • Publication number: 20110268885
    Abstract: A solution for removing an aluminum oxide film from an aluminum or aluminum alloy surface, which includes a salt or oxide of a metal capable of substituting aluminum, a solubilizing agent for ions of the metal, and an alkali, and which has a pH of 10 to 13.5. The removing solution makes it possible to form a film of the metal derived from the metal salt or oxide contained in the removing solution by dissolving away the oxide film from the aluminum or aluminum alloy surface at a low temperature and a high speed while restraining, as securely as possible, erosion of the aluminum or aluminum alloy surface. Besides, the removing solution ensures that even in the case where the thickness of the aluminum or aluminum alloy basis material is very small, the aluminum or aluminum alloy surface can be activated while assuredly leaving the aluminum or aluminum alloy basis material.
    Type: Application
    Filed: July 18, 2011
    Publication date: November 3, 2011
    Inventors: Hiroki Uchida, Kazuki Yoshikawa, Toshiaki Shibata
  • Publication number: 20110259363
    Abstract: The removal of a coating from components after they have been used is often achieved using various acid baths and salt melts. A coating removal process that includes only using hydrochloric acid is provided. The duration of the process in which the coating is treated with the hydrochloric acids has a duration of between 2 and 2.5 hours. The process includes treated the coating with the hydrochloric acid at least twice.
    Type: Application
    Filed: September 10, 2009
    Publication date: October 27, 2011
    Applicant: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Jens Birkner, Jan Steinbach, Rolf Wilkenhöner
  • Publication number: 20110253169
    Abstract: A solution and method for removing titanium-containing coatings from the surface of substrates using the solution are provided. The solution includes 90-1000 g/L organic or inorganic acid; 70-500 g/L accelerant, the accelerant being acid or salt which contains fluorinion; 15-200 g/L secondary accelerator, the secondary accelerator being generic amino alcohols which can combine with titanium ion; 2-8 g/L inhibiter, the inhibiter being selected from one or more of the group consisting of thiourea, thiourea derivatives, and carbamide. The method for removing titanium-containing coating on the substrate mainly includes contacting the substrate with the titanium-containing coating with the solution.
    Type: Application
    Filed: December 21, 2010
    Publication date: October 20, 2011
    Applicants: FIH (HONG KONG) LIMITED, SHENZHEN FUTAIHONG PRECISION INDUSTRY CO., LTD.
    Inventors: WEI HUANG, HONG-LI GONG
  • Patent number: 8038803
    Abstract: Methods are provided for descaling metallic components devices such as stents. The devices or components are cleaned under ultrasound in a cleaning solution of ammonium hydrogen fluoride at a temperature within a range of about 60° to 80° C., then rinsed at that temperature with an aqueous rinse containing a nonionic surfactant and rinsed again with purified water.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: October 18, 2011
    Assignee: Abbott Laboratories
    Inventor: Sanjay Shrivastava
  • Patent number: 8038798
    Abstract: A substrate cleaning apparatus is capable of individually setting a threshold value for use in making a check of a resistivity during a rinsing process on a recipe setting screen in each process step. Thus, by setting each threshold value depending on the type of liquid chemical to be used immediately before the rinsing process, the substrate cleaning apparatus can use an optimum threshold value during the rinsing process in each process step to make a check of the resistivity. This allows the proper completion of the rinsing process in each process step.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: October 18, 2011
    Assignees: Sony Corporation, Dainippon Screen Mfg. Co., Ltd.
    Inventors: Hayato Iwamoto, Noriaki Adachi
  • Patent number: 8038799
    Abstract: A substrate processing apparatus and a substrate processing method, with which a resist can be removed satisfactorily from the substrate and a processing solution used for removing the resist can be recycled, are provided. The substrate processing apparatus includes: a substrate holding means holding a substrate; a peroxosulfuric acid generating means generating a peroxosulfuric acid using sulfuric acid; a mixing means mixing the peroxosulfuric acid generated by the peroxosulfuric acid generating means and sulfuric acid of higher temperature and higher concentration than the sulfuric acid used in the peroxosulfuric acid generating means; and a discharging means discharging, toward the substrate held by the substrate holding means, the mixed solution of the peroxosulfuric acid and the sulfuric acid mixed by the mixing means as a processing solution for removing a resist from the substrate.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: October 18, 2011
    Assignees: Kurita Water Industries Ltd., Dainippon Screen Mfg. Co., Ltd.
    Inventors: Tatsuo Nagai, Hiroshi Morita, Hiroaki Takahashi, Hiroaki Uchida, Toyohide Hayashi
  • Publication number: 20110247650
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at a mole ratio of about 1:1 to about 10:1 in water, and pH is adjusted to from about 6 to about 10 with a basic compound, and optionally a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates. One of the embodiment is the method of using the compositions in dilution, wherein the solution may be diluted with DI water at dilution ratios, for example, of up to 1:10, up to 1:50, up to 1:100, up to 1:150, up to 1:250, and up to about 1:500 or any ratios therein.
    Type: Application
    Filed: June 20, 2011
    Publication date: October 13, 2011
    Inventor: WAI MUN LEE
  • Patent number: 8029623
    Abstract: Embodiments of the present disclosure include cleaning processes, cleaning machines, and methods of preventing acidification of a cleaning composition in a cleaning process. The cleaning process includes contacting an article having contaminants with a cleaning composition to remove the contaminants from the article, where the cleaning composition comes to have acidic components as a result of contacting the article with the cleaning composition, and where at least 85 percent by weight, based on a total weight of the cleaning composition, of the cleaning composition is an organic solvent, collecting the cleaning composition with the contaminants and the acidic components, separating the contaminants from the cleaning composition (114), and passing the cleaning composition with the acidic components over an ion exchange resin (125) to remove the acidic components from the cleaning composition.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 4, 2011
    Assignee: Dow Global Technologies LLC
    Inventors: Konrad Geissler, Marius Kuemin
  • Publication number: 20110232679
    Abstract: The present invention relates to an acidic, aqueous composition which comprises a thiodiglycol alkoxylate for treating metallic surfaces. The invention furthermore relates to the use of one or more compound(s) of the general formula (I) as a corrosion inhibitor.
    Type: Application
    Filed: November 16, 2009
    Publication date: September 29, 2011
    Applicant: BASF SE
    Inventors: Stefan Faßbender, Peter Kolb
  • Patent number: 8021490
    Abstract: A method for removing common contaminates or residues which include but are not limited to ionic residues, particulate residues and moisture from semiconductor wafers used in the manufacture of IC (integrated circuits), liquid crystal displays and flat panel displays. The process includes the use of certain esters or certain esters combined with particular co-solvents. The cleaning method may be utilized in a variety of cleaning processes or process steps and offers economic and performance advantages.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: September 20, 2011
    Assignee: Eastman Chemical Company
    Inventors: Michael W. Quillen, L Palmer Holbrook, John Cleaon Moore
  • Patent number: 8021494
    Abstract: A method of decontaminating an oxide layer-comprising surface of a component or a system of a nuclear facility. An acidic water film is produced on the surface, the film of water is brought into contact with a gaseous acid anhydride, and the oxide layer is treated with gaseous ozone as oxidizing agent.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: September 20, 2011
    Assignee: Areva NP GmbH
    Inventors: Horst-Otto Bertholdt, Terezinha Claudete Maciel, Franz Strohmer
  • Patent number: 8021491
    Abstract: A method for selectively removing an aluminum-poor overlay coating from a substrate of a component, which as a result of its low aluminum content is highly resistant to a selective stripping solution. The method entails diffusing aluminum into the overlay coating to form an aluminum-infused overlay coating having an increased aluminum level in at least an outer surface thereof. The diffusion step is carried out so that the increased aluminum level is sufficient to render the aluminum-infused overlay coating removable by selective stripping. The outer surface of the aluminum-infused overlay coating is then contacted with an aqueous composition to remove the aluminum-infused overlay coating from the substrate. The aqueous composition includes at least one acid having the formula HxAF6, and/or precursors thereof, wherein A is Si, Ge, Ti, Zr, Al, and/or Ga, and x is from 1 to 6.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: September 20, 2011
    Inventors: Lawrence Bernard Kool, Michael Howard Rucker, David Edwin Budinger
  • Patent number: 8016996
    Abstract: A method is provided, which includes moving a mobile floor cleaning machine along a floor. Onboard the mobile floor cleaning machine, a liquid is sparged by electrolysis. The sparged liquid is dispensed from the mobile floor cleaning machine.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: September 13, 2011
    Assignee: Tennant Company
    Inventors: Bruce F. Field, Patrick J. Gronlund
  • Patent number: 8016948
    Abstract: Disclosed herein is a cleaning method useful in removing contaminants from a surface of a coating which comprises an oxide or fluoride of a Group III B metal. Typically the coating overlies an aluminum substrate which is present as part of a semiconductor processing apparatus. The coating typically comprises an oxide or a fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or yttrium-aluminum-garnet (YAG). The coating may further comprise about 20 volume % or less of Al2O3.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: September 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Xikun Wang, Li Xu, Jennifer Y. Sun
  • Publication number: 20110214689
    Abstract: Disclosed are cleaning solvents and cleaning methods for metallic compounds deposited on the equipment that supplies organometallic compounds to the manufacturing tool in the photovoltaic industry or the semiconductor industry. The cleaning solvents and the cleaning methods disclosed not only selectively remove the metallic compound without corroding the equipment, but also improve the ordinary cleaning process. Moreover, the cleaning solvents and the cleaning methods disclosed improve maintenance costs for the supply system because the equipment may be cleaned without being detached from the supply system.
    Type: Application
    Filed: June 17, 2010
    Publication date: September 8, 2011
    Applicant: L'Air Liquide, Societe Anonyme pour I'Etude et I'Exploitation des Prodedes Georges Claude
    Inventor: Yoichi Sakata
  • Patent number: 8007593
    Abstract: A remover composition containing 1,3-propanediamine (a), 1-hydroxyethylidene-1, 1-diphosphonic acid (b) and water, wherein the remover composition contains the component (a) in an amount of from 0.2 to 30% by weight, the component (b) in an amount of from 0.05 to 10% by weight, and the water in an amount of from 60 to 99.75% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13; and a remover composition containing an organic amine (A), an organic phosphonic acid (B), a linear sugar alcohol (C) and water, wherein the remover composition contains the component (A) in an amount of from 0.2 to 30% by weight, the component (B) in an amount of from 0.05 to 10% by weight, the component (C) in an amount of from 0.1 to 10% by weight, and the water in an amount of from 50 to 99.65% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: August 30, 2011
    Assignee: Kao Corporation
    Inventors: Sadaharu Miyamoto, Yasushi Sasaki
  • Patent number: 8007594
    Abstract: A method for manufacturing a semiconductor device includes the step of conducting a cleaning process for a wafer formed with copper wiring lines to remove contaminations produced on a back surface of the wafer. The cleaning process is conducted by injecting onto the back surface of the wafer an etchant for removing contaminations and simultaneously injecting onto a front surface of the wafer a reductant containing hydrogen.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: August 30, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young Bang Lee, Kwang Kee Chae, Ok Min Moon
  • Publication number: 20110203611
    Abstract: Embodiments disclose a method for cleaning a mask having a mask film that is of a surface to which a foreign substance containing silicon oxide adheres. In the method, the mask is retained in a cleaning gas containing diluted hydrofluoric acid vapor at a temperature at which an etching rate to the foreign substance becomes higher than an etching rate to the mask film. Further, in the method, the cleaning gas is supplied to the surface of the mask to etch the foreign substance.
    Type: Application
    Filed: December 10, 2010
    Publication date: August 25, 2011
    Inventors: Eri UEMURA, Makiko KATANO, Yuji YAMADA
  • Patent number: 7985297
    Abstract: A cleaning solution for a quartz part and a method for cleaning the quartz part are provided. The cleaning solution includes from about 5 to about 35 wt % of an ammonium compound, from about 7 to about 55 wt % of an acidic oxidizing agent, from about 5 to about 30 wt % of a fluorine compound and a remaining amount of water. Residual thin films and impurities on the surface of the quartz part may be removed while reducing the damage onto the quartz part.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: July 26, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Dae Park, Pil-Kwon Jun, Bo-Yong Lee, Tae-Hyo Choi, Da-Hee Lee, Seung-Ki Chae
  • Patent number: 7964109
    Abstract: The invention includes methods of cleaning a surface of a cobalt-containing material, methods of forming an opening to a cobalt-containing material, semiconductor processing methods of forming an integrated circuit comprising a copper-containing conductive line, and cobalt-containing film cleaning solutions. In one implementation, a method of cleaning a surface of a cobalt-containing material includes forming a cobalt-containing material over a substrate. The surface of the cobalt-containing material is exposed to an aqueous mixture. The aqueous mixture has an acidic pH and comprises acetic acid, a multiprotic acid, and HF. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: June 21, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Patent number: 7959788
    Abstract: A method is provided, which includes moving a mobile floor cleaning machine along a floor. Onboard the mobile floor cleaning machine, a liquid is sparged by electrolysis. The sparged liquid is dispensed from the mobile floor cleaning machine.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: June 14, 2011
    Assignee: Tennant Company
    Inventors: Bruce F. Field, Patrick J. Gronlund
  • Patent number: 7955440
    Abstract: After a water film is formed on a wafer front surface in a chamber, the water film is supplied sequentially with an oxidizing component of an oxidation gas, an organic acid component of an organic acid mist, an HF component of an HF gas, the organic acid mist, and the oxidizing component of the oxidation gas. As a result, the HF component and the organic acid component provide cleaning effect on the wafer surface, and a concentration of the cleaning components in the water film within a wafer surface can be even.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: June 7, 2011
    Assignee: Sumco Corporation
    Inventors: Shigeru Okuuchi, Kazushige Takaishi
  • Patent number: 7947130
    Abstract: Semiconductor processing compositions for use with silicon wafers having an insulating layers and metallization layers on the wafers comprising water and one or more Troika acids which is also referred to as ?,?-disubstituted trifunctional oximes or ?-(Hydroxyimino) Phosphonoacetic acids, their salts, and their derivatives.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: May 24, 2011
    Inventor: Wai Mun Lee
  • Patent number: 7942980
    Abstract: Starch is removed from the surface of an article using a multi-step method that includes presoaking the article in an acidic solution to remove the starch from the surface of the article and washing the article in an alkaline solution to clean the article.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: May 17, 2011
    Assignee: Ecolab USA Inc.
    Inventors: Helmut Maier, Tomoaki Nakasone
  • Patent number: 7942975
    Abstract: A ceramic sprayed member-cleaning method which is capable of reliably suppressing desorption and attachment of water. The surface of a ceramic sprayed member and water are chemically bonded to each other, whereby the water is stabilized. Water physically adsorbed on the surface of the ceramic sprayed member is desorbed.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: May 17, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Kouji Mitsuhashi
  • Patent number: 7938911
    Abstract: Semiconductor wafers are cleaned using a cleaning solution containing an alkaline ammonium component in an initial composition, wherein the semiconductor wafer is brought into contact with the cleaning solution in an individual-wafer treatment, and in the course of cleaning hydrogen fluoride is added as further component to the cleaning solution, and the cleaning solution has at the end of cleaning, a composition that differs from the initial composition.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: May 10, 2011
    Assignee: Siltronic AG
    Inventors: Clemens Zapilko, Thomas Buschhardt, Diego Feijoo, Guenter Schwab
  • Publication number: 20110094536
    Abstract: Semiconductor processing compositions for use with silicon wafers having an insulating layers, and metallization layers on the wafers comprising water and one or more Troika acids which is also referred to as a, ?-disubstituted trifunctional oximes or ?-(Hydroxyimino) Phosphonoacetic acids, their salts, and their derivatives.
    Type: Application
    Filed: September 24, 2010
    Publication date: April 28, 2011
    Inventor: WAI MUN LEE
  • Publication number: 20110088720
    Abstract: A method for removing sand particles from a substrate is described. The method includes the step of treating the substrate with an acid solution comprising HxAF6, wherein A is selected from the group consisting of Si, Ge, Ti, Zr, Al, and Ga; and wherein HxAF6 is present at a concentration in the range from about 5 weight percent to about 40 weight percent.
    Type: Application
    Filed: October 20, 2009
    Publication date: April 21, 2011
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Kripa Kiran Varanasi, Lawrence Bernard Kool, Gabriel Kwadwo Ofori-Okai
  • Patent number: 7922822
    Abstract: A cleaning and sterilizing method for removing organic matter adhered to the surface of an endoscopic camera instrument, and effectively exhibiting bacteria-killing and virus-killing effects of acidic water uses, as a cleaning bath, an alkaline-water-producing electrolysis bath partitioned by a separating membrane and having electrodes on both sides, and conducts preliminary cleaning of an endoscopic camera instrument inserted in the cleaning bath by ultrasonic cleaning with city tap water. Subsequently, saline solution is provided to the electrolysis bath to conduct electrolysis, conduct ultrasonic cleaning with alkaline water obtained by the electrolysis, introduce acidic water in the acidic water generation bath and conduct sterilization-cleaning, and further to conduct ultrasonic cleaning with city tap water. Then, the endoscopic camera instrument is dried with warm air as the case requires.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: April 12, 2011
    Assignees: Kripton Co., Ltd., Science Technology Interact Co., Ltd.
    Inventors: Masahisa Hamada, Takehisa Nakayama, Kazuko Ichimiya
  • Publication number: 20110079244
    Abstract: The present invention provides a pickling method of steel sheet and pickling system of steel sheet able to efficiently remove oxide scale from steel sheet. That is, it provides a continuous pickling method of steel sheet having a step A of pickling the steel sheet in a pickling tank, a step B of blowing gas toward at least part of the surfaces of the steel sheet in the air after the step A, and a step C of pickling the steel sheet in a pickling tank after the step B, wherein at step B, the steel sheet is temporarily taken out from the pickling solution and gas is blown to at least part of the surfaces of the steel sheet in the air so as to evaporate the acid solution deposited on the surface of the steel sheet and locally increase the acid concentration to thereby efficiently remove even the stubborn Si oxides included in the oxide scale.
    Type: Application
    Filed: May 28, 2009
    Publication date: April 7, 2011
    Inventors: Kenichi Uemura, Daisuke Sawada
  • Publication number: 20110056516
    Abstract: An environmentally acceptable and comparatively safe acid cleaning solution containing sulfuric acid, water and amine containing inhibitors that adequately remove oxidation or contaminants from surfaces without excessively damaging equipment. The sulfuric acid is effective in removing impurities and contaminants, while the amine moieties or amine salts reduce the otherwise damaging effect of sulfuric acid on equipment and on human skin and tissue.
    Type: Application
    Filed: November 17, 2010
    Publication date: March 10, 2011
    Inventors: Richard E. ADAIR, Andreas Lindert
  • Patent number: 7896970
    Abstract: A semiconductor substrate cleaning liquid composition is provided that includes one or more types selected from the group consisting of a compound having at least two sulfonic acid groups per molecule, phytic acid, and a condensed phosphoric acid compound; an inorganic acid; and water. There is also provided a process for cleaning a semiconductor substrate that includes a first step of cleaning the semiconductor substrate using the semiconductor substrate cleaning liquid composition and, subsequent to the first step, a second step of cleaning the semiconductor substrate with pure water, ozone water formed by dissolving ozone gas in pure water, or aqueous hydrogen peroxide.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: March 1, 2011
    Assignees: Kabushiki Kaisha Toshiba, Kanto Kagaku Labushiki Kaisha
    Inventors: Hiroshi Tomita, Yuji Yamada, Hiroaki Yamada, Norio Ishikawa, Yumiko Abe
  • Patent number: 7887641
    Abstract: The present invention relates to medium chain peroxycarboxylic acid compositions of neutral or alkaline pH, to methods of making these compositions, and to methods employing these compositions. The methods include methods of cleaning. The compositions include cleaning compositions.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: February 15, 2011
    Assignee: Ecolab USA Inc.
    Inventors: Victor Fuk-Pong Man, Gina Marie Fasching, Nathan Daniel Peitersen, Mark Raymond Altier
  • Publication number: 20110027995
    Abstract: A cleaning solution of the present invention contains a sodium ion, a potassium ion, an iron ion, an ammonium salt of a sulfuric ester represented by General Formula (1), and water, and each content of the sodium ion, the potassium ion, and the iron ion is 1 ppb to 500 ppb. ROSO3—(X)+ (1) where R is an alkyl group with a carbon number of 8-22 or an alkenyl group with a carbon number of 8-22, and (X)+ is an ammonium ion.
    Type: Application
    Filed: May 18, 2009
    Publication date: February 3, 2011
    Inventor: Youichi Ishibashi
  • Publication number: 20110023907
    Abstract: A method for manufacturing a semiconductor device includes the step of conducting a cleaning process for a wafer formed with copper wiring lines to remove contaminations produced on a back surface of the wafer. The cleaning process is conducted by injecting onto the back surface of the wafer an etchant for removing contaminations and simultaneously injecting onto a front surface of the wafer a reductant containing hydrogen.
    Type: Application
    Filed: July 12, 2010
    Publication date: February 3, 2011
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Young Bang LEE, Kwang Kee CHAE, Ok Min MOON
  • Patent number: 7879153
    Abstract: It relates to a method for removing a surfactant, organic materials and chlorine ions remained on the surface of metal nanoparticles, prepared on an organic solvent phase including a surfactant. The method for cleaning metal nanoparticles herein is efficient to remove organic materials or chlorine ions remained on the surface of the nanoparticles. Not less than 90% of impurities may be removed by this method. As a result, the thickness of a multi layer ceramic capacitor (MLCC) can be reduced and a packing factor can be improved so that it allows thinner multi layer ceramic capacitors and improved utilities of metal nanoparticles as fuel cell catalysts, hydrogenation reaction catalysts, alternative catalysts of platinum (Pt) in chemical reactions and the like.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: February 1, 2011
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Jung-Wook Seo, Hyo-Seung Nam, Young-Ku Lyu, Kyung-Mi Kim, Jong-Sik Kim, Tae-Ho Kim
  • Publication number: 20110014793
    Abstract: A post-dry etching cleaning liquid composition for cleaning a substrate after dry etching is provided, the cleaning liquid composition containing at least one type of fluorine compound, glyoxylic acid, at least one type of organic acid salt, and water. With regard to the fluorine compound, ammonium fluoride may be used. With regard to the organic acid salt, at least one of ammonium oxalate, ammonium tartarate, ammonium citrate, and ammonium acetate may be used.
    Type: Application
    Filed: September 23, 2010
    Publication date: January 20, 2011
    Inventors: Masafumi Muramatsu, Kazumi Asada, Yukino Hagino, Atsushi Okuyama, Takahito Nakajima, Kazuhiko Takase, Yoshihiro Uozumi, Tsuyoshi Matsumura, Takuo Ohwada, Norio Ishikawa
  • Publication number: 20110005549
    Abstract: The invention relates to a process for the thermochemical cleaning and/or stripping of turbine components, in particular engine components, with the steps: Production of a first gaseous mixture containing HF and H2 in which the part by volume of HF in the mixture of HF and H2 is in the range of 2.5 to 45% by volume, and application of the first gaseous mixture containing HF and H2 on and/or in a turbine component for cleaning and/or stripping this turbine component.
    Type: Application
    Filed: February 19, 2009
    Publication date: January 13, 2011
    Applicant: MTU AERO ENGINES GMBH
    Inventors: Horst Pillhöfer, Jörn Kohlscheen, Paul Heilmann
  • Patent number: 7867404
    Abstract: A method for removing an undesirable material from an electronic or electrical component and introducing a desirable material in place of the undesirable material. The method can include the replacement of a leaded material found on the component with a no-lead material to meet governmental directives including those of the European Union.
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: January 11, 2011
    Inventor: Joel Allen Deutsch
  • Publication number: 20100326466
    Abstract: An object is to reduce changes in mechanical properties of a gas turbine blade base material during repair or regeneration of a gas turbine blade. For this purpose, a gas turbine blade after being operated is washed by being immersed into a strong alkaline washing solution, and the gas turbine blade after being washed with the strong alkaline washing solution is washed with water. The gas turbine blade after being washed with water is then washed by being immersed into a weak acid washing solution, and the gas turbine blade after being washed with the weak acid washing solution is subjected to heat treatment. The gas turbine blade after the heat treatment is then immersed into a strong acid washing solution, whereby the coating formed on the surface of the gas turbine blade is removed.
    Type: Application
    Filed: February 14, 2008
    Publication date: December 30, 2010
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Tetsuji Kawakami, Ikumasa Koshiro, Rumi Haruna, Yoshitaka Uemura
  • Publication number: 20100313908
    Abstract: The present invention relates to the use of at least one alkanesulfonic acid of formula R—SO3H, in which R represents a saturated, linear or branched, hydrocarbon chain containing 1 to 4 carbon atoms, as agent for cleaning cement, mortar, concrete, lime, laitance and other derived products. The invention also relates to a method of cleaning cement, mortar, concrete, lime, laitance and other derived products using at least one alkanesulfonic acid.
    Type: Application
    Filed: November 30, 2009
    Publication date: December 16, 2010
    Inventors: Jean-Alex Laffitte, Bernard Monguillon
  • Patent number: 7851373
    Abstract: Systems and methods for processing semiconductor devices are disclosed. A preferred embodiment comprises a processing method that includes providing a processing system including a first container and a second container fluidly coupled to the first container, the second container being adapted to receive and retain an overflow amount of a fluid from the first container, and disposing the fluid in the first container and a portion of the second container. The method includes providing at least one semiconductor device, disposing the at least one semiconductor device in the first container, and maintaining the fluid in the second container substantially to a first level while processing the at least one semiconductor device with the fluid.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: December 14, 2010
    Assignee: Infineon Technologies AG
    Inventor: Lothar Doni
  • Patent number: 7850786
    Abstract: Described is a space-conserving integrated fluid delivery system particularly useful for gas distribution in semiconductor processing equipment. The system includes integrated fluid flow network architecture, and may include, in addition to a layered substrate containing fluid flow channels, various fluid handling and monitoring components. The layered substrate is diffusion bonded. Subsequent to diffusion bonding, a stainless steel diffusion bonded part may advantageously be treated to enhance corrosion resistance using a series of steps designed to bring more chromium to the surface of the steel.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: December 14, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mark Crockett, John W. Lane, Micahel DeChellis, Chris Melcer, Erica Porras, Aneesh Khullar, Balarabe N. Mohammed
  • Patent number: RE42128
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: February 8, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe