With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Patent number: 11923170
    Abstract: The plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, an upper electrode, a dielectric plate, and a waveguide. The stage is provided in the processing container. The dielectric plate is provided above the stage with a space in the processing container interposed therebetween. The upper electrode is provided above the dielectric plate. The waveguide has an end and guides high frequency waves in a VHF band or a UHF band. The end is arranged to face the space to radiate high frequency waves to the space. The dielectric plate includes a conductive film. The conductive film is provided on an upper surface of the dielectric plate. The upper surface faces the upper electrode. The conductive film is electrically connected to the upper electrode.
    Type: Grant
    Filed: November 26, 2019
    Date of Patent: March 5, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Satoru Kawakami, Hiroyuki Yamamoto, Taro Ikeda, Masaki Hirayama
  • Patent number: 11875973
    Abstract: Methods for preparing a void-free protective coating are disclosed herein. The void-free protective coating is used on a dielectric window having a central hole, which is used in a plasma treatment tool. A first protective coating layer is applied to the window, leaving an uncoated annular retreat area around the central hole. The first protective coating layer is polished to produce a flat surface and fill in any voids on the window. A second protective coating layer is then applied upon the flat surface of the first protective coating layer to obtain the void-free coating. This increases process uptime and service lifetime of the dielectric window and the plasma treatment tool.
    Type: Grant
    Filed: February 8, 2022
    Date of Patent: January 16, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Tsung Chen, Tsung-Cheng Ho, Chien-Yu Wang, Yen-Shih Wang, Jiun-Rong Pai, Yeh-Chieh Wang
  • Patent number: 11869751
    Abstract: An upper electrode used for a substrate processing apparatus using plasma is provided. The upper electrode includes a bottom surface including a center region and an edge region having a ring shape and surrounding the center region, a first protrusion portion protruding toward plasma from the edge region and having a ring shape, wherein the first protrusion portion includes a first apex corresponding to a radial local maximum point toward the plasma, and a first distance, which is a radial-direction distance between the first apex and a center axis of the upper electrode, is greater than a radius of a substrate.
    Type: Grant
    Filed: December 21, 2022
    Date of Patent: January 9, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Byungjo Kim, Sangki Nam, Jungmin Ko, Kwonsang Seo, Seungbo Shim, Younghyun Jo
  • Patent number: 11798803
    Abstract: In one example, a process chamber comprises a lid assembly, a first gas supply, second gas supply, a chamber body, and a substrate support. The lid assembly comprises a gas box, a gas conduit passing through the gas box, a blocker plate, and a showerhead. The gas box comprises a gas distribution plenum, and a distribution plate comprising a plurality of holes aligned with the gas distribution plenum. The blocker plate is coupled to the gas box forming a first plenum. The showerhead is coupled to the blocker plate forming a second plenum. The first gas supply is coupled to the gas distribution plenum, and the second gas supply system is coupled to the gas conduit. The chamber body is coupled to the showerhead, and the substrate support assembly is disposed within an interior volume of the chamber body, and is configured to support a substrate during processing.
    Type: Grant
    Filed: April 9, 2020
    Date of Patent: October 24, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Daemian Raj Benjamin Raj, Gregory Eugene Chichkanoff, Shailendra Srivastava, Sai Susmita Addepalli, Nikhil Sudhindrarao Jorapur, Abhigyan Keshri, Allison Yau
  • Patent number: 11791172
    Abstract: Gas distribution apparatus to provide uniform flows of gases from a single source to multiple processing chambers are described. A valve upstream of a shared volume is controlled by at least two pressurizing sequences during a process it the processing chamber. The first pressurizing sequence opens and closes the upstream valve a first number of cycles and the second pressurizing sequence opens and closes the upstream valve less frequently after the first number of cycles. The open/close timing of the second pressurizing sequence occurs less frequently than the open/close timing of the first pressurizing sequence.
    Type: Grant
    Filed: June 18, 2020
    Date of Patent: October 17, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mauro Cimino, Arkaprava Dan, Paul Z. Wirth
  • Patent number: 11767590
    Abstract: Process chamber lids having a pumping liner with a showerhead and gas funnel within an open central region are described. The showerhead is spaced a distance from the gas funnel to form a gap and the gas funnel has an opening to provide a flow of gas into the gap. The gas funnel includes a plurality of apertures extending from the front surface to a common region adjacent the back surface of the gas funnel. A purge ring is in contact with the back surface of the gas funnel and aligned so that a circular channel formed in the bottom surface of the purge ring body is positioned adjacent the common area of the apertures in the gas funnel.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: September 26, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhannad Mustafa, Muhammad M. Rasheed, Mario D. Sanchez, Anqing Cui
  • Patent number: 11746414
    Abstract: Exemplary embodiments of the disclosure provide improved reactor systems, assemblies, and methods for controlling a temperature within the reactor system, such as a temperature of a gas supply unit. Exemplary systems and methods employ an exhaust unit to cause movement of a fluid over a portion of the gas supply unit to better control the temperature of the gas supply unit.
    Type: Grant
    Filed: June 8, 2022
    Date of Patent: September 5, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: SungHoon Jun, HeeChul Jung, YonJong Jeon
  • Patent number: 11725274
    Abstract: Embodiments described herein relate to apparatus and methods for processing a substrate. In one embodiment, a cluster tool apparatus is provided having a transfer chamber and a pre-clean chamber, a self-assembled monolayer (SAM) deposition chamber, an atomic layer deposition (ALD) chamber, and a post-processing chamber disposed about the transfer chamber. A substrate may be processed by the cluster tool and transferred between the pre-clean chamber, the SAM deposition chamber, the ALD chamber, and the post-processing chamber. Transfer of the substrate between each of the chambers may be facilitated by the transfer chamber which houses a transfer robot.
    Type: Grant
    Filed: June 6, 2019
    Date of Patent: August 15, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tobin Kaufman-Osborn, Srinivas D. Nemani, Ludovic Godet, Qiwei Liang, Adib Khan
  • Patent number: 11723152
    Abstract: The present disclosure describes a storage device including a top panel, a bottom panel, a back panel, a front panel, and two side panels configured to form an enclosed volume. The storage device further includes multiple slots disposed at inner surfaces of the two side panels and configured to hold a substrate, a gas diffuser disposed at an inner surface of the back panel and configured to provide a purge gas to the enclosed volume, an isolation gas device disposed on an inner surface of the top panel and adjacent to a top portion of the front panel, and an isolation gas line configured to connect the isolation gas device to the gas diffuser. The isolation gas device is configured to inject the purge gas into a front portion of the storage device and in a direction from the top panel toward the bottom panel.
    Type: Grant
    Filed: July 23, 2020
    Date of Patent: August 8, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shen-Min Yang, Pu Kuan Fang, Jyh-Shiou Hsu, Mu-Tsang Lin
  • Patent number: 11710651
    Abstract: The present invention relates to a container for storing a wafer, particularly to a container for storing a wafer in which a plurality of purging areas is vertically partitioned in the interior of a storage chamber, and a purge gas is sprayed into the plurality of purging areas, thereby allowing not only uniform purging of the wafer to be assured but also efficient purging of the wafer without waste of the purge gas to be achieved.
    Type: Grant
    Filed: April 30, 2021
    Date of Patent: July 25, 2023
    Assignees: PICO & TERA CO., LTD.
    Inventors: Bum Je Woo, Seok Mun Yoon, Jang Heo, Young Chul Kim
  • Patent number: 11694915
    Abstract: A device for maintaining cleanliness in a vacuum environment during semiconductor manufacture in a device storing and transferring wafers into etching and other manufacturing processes includes a transferring chamber storing wafers, a vacuum system to extract particles from the transferring chamber, and a thermoelectric device for temperature control. The vacuum system includes an extracting pipe, the thermoelectric device includes a cooling apparatus to cool the transferring chamber, and a monitoring device to detect particle concentrations in the transferring chamber. The cooling apparatus includes Peltier elements arranged on the extracting pipe to cool and thus cause the descent of fumes and particles towards a low-set extraction area.
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: July 4, 2023
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventor: Dea-Jin Kim
  • Patent number: 11684941
    Abstract: A showerhead with an embedded nut is disclosed. The showerhead comprises an embedded nut within a cavity. The nut may be engaged by a bolt through an opening in the cavity to support the showerhead. The apparatus allows for the support of the showerhead without the potential for metal contamination.
    Type: Grant
    Filed: November 16, 2020
    Date of Patent: June 27, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Kenneth Brian Doering
  • Patent number: 11643726
    Abstract: Methods for depositing materials are described. The methods comprise maintaining a substrate support at a substrate support temperature which is lower than a precursor source temperature. The methods further comprise condensing or depositing a precursor on a substrate, and then curing condensed or deposited precursor to form a layer.
    Type: Grant
    Filed: January 21, 2022
    Date of Patent: May 9, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Marko Tuominen, Viljami Pore
  • Patent number: 11640900
    Abstract: Techniques are disclosed for an electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS). A cylindrical magnet is placed around the neck of a hollow cathode under the influence of an RF field. A plasma gas is introduced in the hollow cathode that undergoes phase transition to a plasma containing free electrons and gas ions. The magnetic field of the magnet causes ECR that confines free electrons to a narrow spiraling beam traveling down the body of the hollow cathode. Unlike traditional methods, the present ECR-enhanced design confines the electrons and ions to a narrow path away from the walls of the cathode. The high-density, stable plasma is available at the distal end of the hollow cathode. A multicavity design utilizes multiple cavities with multiple aligned magnets in a single reactor suitable for various processes including, PECVD, PEALD, ALE, etc.
    Type: Grant
    Filed: January 29, 2021
    Date of Patent: May 2, 2023
    Assignee: Nano-Master, Inc.
    Inventor: Birol Kuyel
  • Patent number: 11605529
    Abstract: A plasma processing apparatus includes a chamber; a support member in the chamber; a window plate at an upper portion of the chamber and including a window plate body and a fastening hole, wherein the fastening hole includes a lower fastening hole portion and an upper fastening hole portion. and a gas injector including a first body having a plurality of distribution nozzles and a second body having an accommodating groove to which the first body is fastened and a plurality of injection nozzles. The second body includes a first portion disposed inside the upper fastening hole portion, a second portion disposed inside the lower fastening hole portion, and a third portion disposed below the window plate. The second portion of the second body includes a gas hole extending from the accommodating groove to an external side surface of the second portion of the second body.
    Type: Grant
    Filed: March 29, 2021
    Date of Patent: March 14, 2023
    Inventors: Hakyoung Kim, Kyeongtea Bang, Dougyong Sung
  • Patent number: 11584992
    Abstract: Gas injector inserts having a wedge-shaped housing, at least one first slot and at least one second slot are described. The housing has a first opening in the back face that is in fluid communication with the first slot in the front face and a second opening in the back face that is in fluid communication with the second slot in the front face. Each of the first slot and the second slot has an elongate axis that extends from the inner peripheral end to the outer peripheral end of the housing. The gas injector insert is configured to provide a flow of gas through the first slots at supersonic velocity. Gas distribution assemblies and processing chambers including the gas injector inserts are described.
    Type: Grant
    Filed: October 27, 2021
    Date of Patent: February 21, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kenneth Brian Doering, Mario D. Silvetti, Kevin Griffin
  • Patent number: 11581165
    Abstract: The present technology includes improved gas distribution designs for forming uniform plasmas during semiconductor processing operations or for treating the interior of semiconductor processing chambers. While conventional gas distribution assemblies may receive a specific reactant or reactant ratio which is then distributed into the plasma region, the presently described technology allows for improved control of the reactant input distribution. The technology allows for separate flows of reactants to different regions of the plasma to offset any irregularities observed in process uniformity. A first precursor may be delivered to the center of the plasma above the center of the substrate/pedestal while a second precursor may be delivered to an outer portion of the plasma above an outer portion of the substrate/pedestal. In so doing, a substrate residing on the pedestal may experience a more uniform etch or deposition profile across the entire surface.
    Type: Grant
    Filed: January 25, 2021
    Date of Patent: February 14, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Saravjeet Singh, Kenneth D. Schatz, Alan Tso, Marlin Wijekoon, Dimitri Kioussis
  • Patent number: 11551913
    Abstract: The present inventive concept relates to a substrate treatment apparatus comprising: a support part for supporting a substrate; a first electrode part disposed above the support part; a second electrode part disposed above the first electrode part; a generation hole formed to extend through the first electrode part; and a protruding electrode coupled to the second electrode part while protruding downward from the second electrode part at a position corresponding to the generation hole, wherein the protruding electrode is formed to have a shorter length than the first electrode part in the vertical direction.
    Type: Grant
    Filed: January 29, 2019
    Date of Patent: January 10, 2023
    Inventors: Woong Kyo Oh, Hyun Ho Koo, Kwang Su Yoo, Sang Du Lee, Kyu jung Cho
  • Patent number: 11545344
    Abstract: An upper electrode used for a substrate processing apparatus using plasma is provided. The upper electrode includes a bottom surface including a center region and an edge region having a ring shape and surrounding the center region, a first protrusion portion protruding toward plasma from the edge region and having a ring shape, wherein the first protrusion portion includes a first apex corresponding to a radial local maximum point toward the plasma, and a first distance, which is a radial-direction distance between the first apex and a center axis of the upper electrode, is greater than a radius of a substrate.
    Type: Grant
    Filed: March 1, 2021
    Date of Patent: January 3, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Byungjo Kim, Sangki Nam, Jungmin Ko, Kwonsang Seo, Seungbo Shim, Younghyun Jo
  • Patent number: 11532464
    Abstract: An apparatus for plasma processing of substrates is disclosed. A plasma processing chamber is provided which includes a chamber body and a lid. The lid includes a faceplate coupled to a backing plate. The faceplate and the backing plate are disposed within a processing volume defined by the chamber body and the lid. One or more ferrite blocks are coupled to the backing plate to modulate an electromagnetic field created by an RF current from an RF generator. A gas feed assembly including a gas source, a remote plasma source, and a zero field feed through are coupled to, and in fluid communication with, the processing volume through the backing plate and faceplate.
    Type: Grant
    Filed: February 15, 2018
    Date of Patent: December 20, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Shuran Sheng, Shinobu Abe, Keita Kuwahara, Chang Hee Shin, Su Ho Cho
  • Patent number: 11488807
    Abstract: An apparatus for plasma processing includes a chamber, a lower electrode on which a substrate is placed in the chamber, an edge ring disposed around the lower electrode, an upper electrode facing the lower electrode in the chamber, a member disposed around the upper electrode, a gas supply section configured to supply a process gas to a space between the member and the lower electrode, and a power supply for applying radio frequency power to the lower electrode or the upper electrode to generate a plasma of the process gas. The member includes an inner member and an outer member positioned outside the inner member, and the outer member is disposed outside the edge ring in a radial direction. At least part of the outer member is movable in a vertical direction.
    Type: Grant
    Filed: August 28, 2020
    Date of Patent: November 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Kota Shihommatsu, Junji Ishibashi, Junichi Sasaki, Hidetoshi Hanaoka
  • Patent number: 11453945
    Abstract: A gas ejector of a gas supply apparatus includes a nozzle portion. The opening of a first-stage restricting cylinder constituting the nozzle portion has a circular cross-sectional shape with a diameter r1. A second-stage restricting cylinder is continuously formed with the first-stage restricting cylinder along a Z direction. The opening of the second-stage restricting cylinder has a circular cross-sectional shape with a diameter r2, and supplies a source gas supplied from the first-stage restricting cylinder to a low-vacuum processing chamber below. At this time, the diameter r2 is set to satisfy “r2>r1”.
    Type: Grant
    Filed: June 1, 2020
    Date of Patent: September 27, 2022
    Assignee: TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION
    Inventors: Shinichi Nishimura, Kensuke Watanabe, Yoichiro Tabata
  • Patent number: 11456157
    Abstract: A plasma processing apparatus includes a processing container that defines a processing space, a gas supply unit provided on a sidewall of the processing container and configured to supply gas to the processing space, a dielectric member having a facing surface that faces the processing space, and an antenna provided on a surface opposite to the facing surface of the dielectric member and configured to radiate microwaves that turn the gas into plasma to the processing space through the dielectric member. The gas supply unit includes a transport hole transporting the gas to a position where the gas does not reach the processing space in the inside of the sidewall of the processing container and an injection hole communicated to the transport hole and configured to inject the gas transported to the position into the processing space. The injection hole has a diameter larger than that of the transport hole.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: September 27, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Jun Yoshikawa
  • Patent number: 11437252
    Abstract: A substrate processing apparatus includes a substrate holder that holds a substrate in a horizontal direction; a rotation driver that rotates the substrate holder; a first processing liquid nozzle that supplies a first processing liquid to a peripheral portion of the substrate; a first gas supply source that supplies a first gas at a first temperature to the peripheral portion of the substrate; and a second gas supply source that supplies a second gas at a second temperature to an inner side of the substrate in a radial direction. The first gas supply source includes a heater that heats the first gas into the first temperature, and a first gas ejection port that supplies the first gas heated by the heater through a conduit, and the second gas supply source includes a second gas ejection port that supplies the second gas through a gas supply pipe.
    Type: Grant
    Filed: June 2, 2020
    Date of Patent: September 6, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiromitsu Namba, Fitrianto, Yoichi Tokunaga, Yoshifumi Amano
  • Patent number: 11404275
    Abstract: Methods and apparatuses for selective deposition of metal oxides on metal surfaces relative to dielectric surfaces are provided. Selective deposition is achieved by exposing metal and dielectric surfaces to a blocking reagent capable of forming a hydrolyzable bond with metal while forming a non hydrolyzable bond with the dielectric, and dipping the surfaces in water to cleave the hydrolyzable bond and leave a blocked surface on the dielectric surface, followed by depositing metal oxide selectively on the metal surface relative to the dielectric surface. Blocking reagents are deposited by wet or dry techniques and may include an alkylaminosilane or alkylchlorosilane as examples.
    Type: Grant
    Filed: March 1, 2019
    Date of Patent: August 2, 2022
    Assignee: Lam Research Corporation
    Inventors: Dennis M. Hausmann, Paul C. Lemaire
  • Patent number: 11380526
    Abstract: A stage on which a substrate is disposed includes: a base embedded with an adsorption electrode therein; a focus ring provided above the adsorption electrode and adsorbed and held on the base; and a deposit control ring provided radially inside the focus ring on the base. A gap is formed between the focus ring and the deposit control ring in a radial direction to separate the focus ring and the deposit control ring.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: July 5, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Hayashi, Tomoyuki Takahashi
  • Patent number: 11328913
    Abstract: The purpose of the present invention is to improve uniformity of film deposition by a plasma-based sputtering device. Provided is a sputtering device 100 for depositing a film on a substrate W through sputtering of targets T by using plasma P, said sputtering device being provided with a vacuum chamber 2 which can be evacuated to a vacuum and into which a gas is to be introduced; a substrate holding part 3 for holding the substrate W inside the vacuum chamber 2; target holding parts 4 for holding the targets T inside the vacuum chamber 2; multiple antennas 5 which are arranged along a surface of the substrate W held by the substrate holding part 3 and generate plasma P; and a reciprocal scanning mechanism 14 for scanning back and forth the substrate holding part 3 along the arrangement direction X of the multiple antennas 5.
    Type: Grant
    Filed: March 14, 2018
    Date of Patent: May 10, 2022
    Assignee: NISSIN ELECTRIC CO., LTD.
    Inventors: Shigeaki Kishida, Daisuke Matsuo
  • Patent number: 11315769
    Abstract: Plasma source assemblies comprising an RF hot electrode having a body and at least one return electrode spaced from the RF hot electrode to provide a gap in which a plasma can be formed. An RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: April 26, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kallol Bera, Anantha K. Subramani, John C. Forster, Philip A. Kraus, Farzad Houshmand, Hanhong Chen
  • Patent number: 11289314
    Abstract: The purpose of the present invention is to improve uniformity of film deposition by a plasma-based sputtering device. Provided is a sputtering device 100 for depositing a film on a substrate W through sputtering of targets T by using plasma P, said sputtering device being provided with a vacuum chamber 2 which can be evacuated to a vacuum and into which a gas is to be introduced; a substrate holding part 3 for holding the substrate W inside the vacuum chamber 2; target holding parts 4 for holding the targets T inside the vacuum chamber 2; multiple antennas 5 which are arranged along a surface of the substrate W held by the substrate holding part 3 and generate plasma P; and a reciprocal scanning mechanism 14 for scanning back and forth the substrate holding part 3 along the arrangement direction X of the multiple antennas 5.
    Type: Grant
    Filed: March 14, 2018
    Date of Patent: March 29, 2022
    Assignee: NISSIN ELECTRIC CO., LTD.
    Inventors: Shigeaki Kishida, Daisuke Matsuo
  • Patent number: 11261522
    Abstract: A film deposition system includes a chamber, a stage disposed in the chamber configured to support a substrate, one or more gas inlet structures configured to supply one or more gases to an interior of the chamber, and one or more microwave-introducing windows that introduce microwave radiation to the chamber to excite the one or more source gases to produce a plasma proximate the stage. The gas inlet structures include one or more angled gas inlets that introduce a plasma-shaping gas flow to the chamber at an angle relative to a symmetry axis of the stage. The plasma-shaping gas flow interacts with the plasma in a way that facilitates axisymmetric deposition of material on a surface of the substrate with the plasma.
    Type: Grant
    Filed: October 18, 2018
    Date of Patent: March 1, 2022
    Assignee: Diamond Foundry Inc.
    Inventor: Jeremy Scholz
  • Patent number: 11264215
    Abstract: A semiconductor manufacturing apparatus includes: a stage configured to support a semiconductor substrate; and a conductive annular member provided at an outer circumferential portion of the stage and configured to enclose the semiconductor substrate when supported on the stage. The stage has a groove that is provided below a lower portion of an inner circumferential end of the annular member.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: March 1, 2022
    Assignee: Kioxia Corporation
    Inventors: Toshiaki Asai, Noriyuki Asami
  • Patent number: 11261527
    Abstract: The present disclosure provides a gas injection system that can include a housing configured to hold a plurality of precursor cartridges comprising one or more precursor materials, and a nozzle extending from the housing, the nozzle having a tip configured for insertion into a sample chamber of a material processing apparatus. The precursor cartridges are fluidly connected to the nozzle to selectively deliver one or more precursor gasses to the sample chamber.
    Type: Grant
    Filed: August 12, 2020
    Date of Patent: March 1, 2022
    Assignee: MEO Engineering Company, Inc.
    Inventors: Alexander Groholski, Valery Ray, Joseph Favata
  • Patent number: 11232932
    Abstract: A plasma processing method for efficiently processing a wafer using plasma which includes two processing steps and a bridging step between the two processing steps. The plasma processing method includes: supplying a processing-use gas into a processing chamber during a processing step; supplying a bridging-use gas into the processing chamber during a bridging step; switching the supply of the processing-use gas from a first gas supply unit and the bridging-use gas from a second gas supply unit to the processing chamber in transition between the two processing steps and the bridging step; and regulating a flow rate of the bridging-use gas to be supplied during the bridging step to a flow rate regarded equal to a supply amount of the processing-use gas to be supplied during a succeeding processing step out of the two processing steps.
    Type: Grant
    Filed: September 19, 2018
    Date of Patent: January 25, 2022
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Masatoshi Kawakami, Kohei Sato, Yasushi Sonoda, Masahiro Nagatani, Makoto Kashibe
  • Patent number: 11107706
    Abstract: Gas phase etching device and gas phase etching apparatus are provided. The gas phase etching device includes: a reaction chamber body, defining a space as a reaction chamber; a pedestal, disposed inside the reaction chamber for holding a workpiece; an inlet member, connected to the reaction chamber body for introducing etchants into the reaction chamber; a pressure regulating assembly, connected to the reaction chamber body for regulating a pressure inside the reaction chamber; a first temperature controller, connected to the reaction chamber body for controlling a temperature therein to a first temperature; and a second temperature controller, connected to the pedestal for controlling a temperature to a second temperature. The first temperature is a temperature that prevents the reaction chamber from being corroded by the etchants. The second temperature is a temperature under which the workpiece held by the pedestal satisfies a temperature requirement for directly performing a subsequent process.
    Type: Grant
    Filed: April 2, 2019
    Date of Patent: August 31, 2021
    Assignee: BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD.
    Inventors: Jun Zhang, Zhenguo Ma, Xin Wu, Lihui Wen, Yunlong Hu, Henan Zhang, Fuping Chu
  • Patent number: 11075086
    Abstract: A method for the etching of deep, high-aspect ratio features into silicon carbide (SiC), gallium nitride (GaN) and similar materials using an Inductively-Coupled Plasma (ICP) etch process technology is described. This technology can also be used to etch features in silicon carbide and gallium nitride having near vertical sidewalls. The disclosed method has application in the fabrication of electronics, microelectronics, power electronics, Monolithic Microwave Integrated Circuits (MMICs), high-voltage electronics, high-temperature electronics, high-power electronics, Light-Emitting Diodes (LEDs), Micro-Electro-Mechanical Systems (MEMS), micro-mechanical devices, microelectronic devices and systems, nanotechnology devices and systems, Nano-Electro-Mechanical Systems (NEMS), photonic devices, and any devices and/or structures made from silicon carbide and/or gallium nitride.
    Type: Grant
    Filed: February 12, 2018
    Date of Patent: July 27, 2021
    Assignee: CORPORATION FOR NATIONAL RESEARCH INITIATIVES
    Inventors: Mehmet Ozgur, Michael Pedersen, Michael A. Huff
  • Patent number: 11053587
    Abstract: A radical source for supplying radicals during atomic layer deposition semiconductor processing operations is provided. The radical source may include a remote volume, a baffle volume, and a baffle that partitions the remote volume from the baffle volume. The baffle volume and the remote volume may be fluidly connected through the baffle via a plurality of baffle holes. The baffle may be offset from a faceplate with a plurality of first gas distribution holes fluidly connected with the baffle volume. A baffle gas inlet may be fluidly connected with the baffle volume, and a first process gas inlet may be fluidly connected with the remote volume. Baffle gas may be flowed into the baffle volume to prevent radicalized first process gas in the remote volume from flowing through the baffle volume and the faceplate.
    Type: Grant
    Filed: June 6, 2019
    Date of Patent: July 6, 2021
    Assignee: Novellus Systems, Inc.
    Inventor: Bart J. van Schravendijk
  • Patent number: 10920319
    Abstract: Exemplary semiconductor processing chamber showerheads may include a dielectric plate characterized by a first surface and a second surface opposite the first surface. The dielectric plate may define a plurality of apertures through the dielectric plate. The dielectric plate may define a first annular channel in the first surface of the dielectric plate, and the first annular channel may extend about the plurality of apertures. The dielectric plate may define a second annular channel in the first surface of the dielectric plate. The second annular channel may be formed radially outward from the first annular channel. The showerheads may also include a conductive material embedded within the dielectric plate and extending about the plurality of apertures without being exposed by the apertures. The conductive material may be exposed at the second annular channel.
    Type: Grant
    Filed: January 11, 2019
    Date of Patent: February 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Laksheswar Kalita, Soonam Park, Dmitry Lubomirsky, Tien Fak Tan, LokKee Loh, Saravjeet Singh, Tae Won Kim
  • Patent number: 10903054
    Abstract: The present technology includes improved gas distribution designs for forming uniform plasmas during semiconductor processing operations or for treating the interior of semiconductor processing chambers. While conventional gas distribution assemblies may receive a specific reactant or reactant ratio which is then distributed into the plasma region, the presently described technology allows for improved control of the reactant input distribution. The technology allows for separate flows of reactants to different regions of the plasma to offset any irregularities observed in process uniformity. A first precursor may be delivered to the center of the plasma above the center of the substrate/pedestal while a second precursor may be delivered to an outer portion of the plasma above an outer portion of the substrate/pedestal. In so doing, a substrate residing on the pedestal may experience a more uniform etch or deposition profile across the entire surface.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: January 26, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Saravjeet Singh, Kenneth D. Schatz, Alan Tso, Marlin Wijekoon, Dimitri Kioussis
  • Patent number: 10840066
    Abstract: An embodiment is an apparatus, such as a plasma chamber. The apparatus includes chamber walls and a chamber window defining an enclosed space. A chamber window is disposed between a plasma antenna and a substrate support. A gas delivery source is mechanically coupled to the chamber window. The gas delivery source comprises a gas injector having a passageway, a window at a first end of the passageway, and a nozzle at a second end of the passageway. The nozzle of the gas delivery source is disposed in the enclosed space. A fastening device is mechanically coupled to the gas delivery source. The fastening device is adjustable to adjust a sealing force against the gas injector.
    Type: Grant
    Filed: June 13, 2018
    Date of Patent: November 17, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yung-Shun Hsu, Ching-Yu Chang, Chiao-Kai Chang, Wai Hong Cheah, Chien-Fang Lin
  • Patent number: 10818479
    Abstract: A grounding cap module includes a main body, a frame portion, and a cap portion. The main body includes a first opening penetrating the main body and a grounding portion disposed on a periphery of the main body and configured to be electrically grounded. The frame portion is disposed on the main body and includes a second opening aligned with the first opening. The cap portion is disposed on the frame portion and covers the second opening, wherein the first opening, the second opening and the cap portion define a receiving cavity. A gas injection device and an etching apparatus using the same are also provided.
    Type: Grant
    Filed: January 19, 2018
    Date of Patent: October 27, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, Ltd.
    Inventors: Li-Shi Liu, Shih-Tsung Chen
  • Patent number: 10804077
    Abstract: A microwave plasma source for generating a microwave plasma inside a chamber by radiating a microwave into the chamber, includes: a microwave oscillator for oscillating the microwave and vary an oscillation frequency thereof; a waveguide through which the microwave propagates; an antenna part including a slot antenna for radiating the microwave into the chamber and having a predetermined pattern of slots, and a microwave-transmitting plate constituting a ceiling plate of the chamber and made of a dielectric material through which the microwave radiated from the slots transmits; temperature detectors for detecting temperatures at plural positions of the antenna part outside the chamber when the microwave plasma is generated; and a frequency controller for receiving detection signals obtained by the temperature detectors and controlling the oscillation frequency of the microwave oscillator so that a plasma density distribution inside the chamber becomes a desired distribution based on the detection signals.
    Type: Grant
    Filed: January 30, 2018
    Date of Patent: October 13, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Toshihiko Iwao
  • Patent number: 10784089
    Abstract: Embodiments described herein provide methods and apparatus used to control a processing result profile proximate to a circumferential edge of a substrate during the plasma assisted processing thereof. In one embodiment a substrate support assembly features a first base plate and a second base plate circumscribing the first base plate. The first and second base plates each have one or more respective first and second cooling disposed therein. The substrate support assembly further features a substrate support disposed on and thermally coupled to the first base plate and a biasing ring disposed on and thermally coupled to the second base plate. Here, the substrate support and the biasing ring are each formed of a dielectric material. The substrate support assembly further includes an edge ring biasing electrode embedded the dielectric material of the biasing ring and an edge ring disposed on the biasing ring.
    Type: Grant
    Filed: February 1, 2019
    Date of Patent: September 22, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: James Rogers, Linying Cui, Rajinder Dhindsa
  • Patent number: 10763084
    Abstract: A conventional substrate processing apparatus for generating plasma cannot generate plasma with high density and thus throughput of substrate processing is low. In order to solve this problem, provided is a substrate processing apparatus including a reaction vessel having a tubular shape and provided with a coil installed at an outer circumference thereof; a cover installed at a first end of the reaction vessel; a gas introduction port installed at the cover; a first plate installed between the gas introduction port and an upper end of the coil; a second plate installed between the first plate and the upper end of the coil; a substrate processing chamber installed at a second end of the reaction vessel; and a gas exhaust part connected to the substrate processing chamber.
    Type: Grant
    Filed: January 17, 2018
    Date of Patent: September 1, 2020
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Hidehiro Yanai, Shin Hiyama, Toru Kakuda, Toshiya Shimada, Tomihiro Amano
  • Patent number: 10763764
    Abstract: A stage includes a heat exchanger, a plate provided on the heat exchanger and including a first main surface and a second main surface opposite to each other, the plate having a plurality of through-holes extending in a plate thickness direction, and an electrostatic chuck having a top surface on which a substrate is mounted and a bottom surface attached to the first main surface. The heat exchanger includes a plurality of first tubes having a plurality of opening ends facing a plurality of regions on the bottom surface which are exposed to the respective through-holes and a plurality of second tubes communicating with the through-holes.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: September 1, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu Hiroki
  • Patent number: 10508340
    Abstract: Processing chambers including lid assemblies which form a volume above an injector assembly to decrease the deflection of the injector assembly as a result of the pressure differential between the processing side of the injector assembly and the atmospheric side of the injector assembly.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: December 17, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Yudovsky, Kevin Griffin
  • Patent number: 10472717
    Abstract: A gas supply system includes a first device to a third device. A plurality of integral units of the first device is configured to select one or more gases from one or more gas sources and supply the selected gases. The second device is configured to distribute plural gases from the integral units and supply the distributed gases while controlling flow rates of the distributed gases. The third device is configured to exhaust the gases within the gas supply system to a gas exhaust device.
    Type: Grant
    Filed: August 6, 2015
    Date of Patent: November 12, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Atsushi Sawachi, Norikazu Sasaki, Jun Yamashima, Yoshiyasu Sato, Kenichi Nogami
  • Patent number: 10465288
    Abstract: A nozzle for uniform plasma processing comprises an inlet portion and an outlet portion. The inlet portion has a side surface substantially parallel to a vertical axis. The inlet portion comprises a plurality of gas channels. The outlet portion is coupled to the inlet portion. The outlet portion comprises a plurality of outlets. At least one of the outlets is at an angle other than a right angle relative to the vertical axis.
    Type: Grant
    Filed: August 15, 2014
    Date of Patent: November 5, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Rohit Mishra, Siva Suri Chandra Rao Bhesetti, Eng Sheng Peh, Sriskantharajah Thirunavukarasu, Shoju Vayyapron, Cheng Sun
  • Patent number: 10418226
    Abstract: An activated gas generation apparatus includes a gas jet flow straightener below an activated gas generating electrode group and a nozzle constituent part. The gas jet flow straightener receives a plurality of nozzle passing activated gases as a whole at an inlet part of a gas flow-straightening passage. The gas flow-straightening passage is formed so that the outlet opening area of an outlet part is set to be narrower than the inlet opening area of the inlet part, and the cylindrical gas jet of each of the plurality of nozzle passing activated gases is converted into a linear flow-straightened activated gas by the flow-straightening action of the gas flow-straightening passage.
    Type: Grant
    Filed: May 27, 2016
    Date of Patent: September 17, 2019
    Assignee: TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION
    Inventors: Kensuke Watanabe, Shinichi Nishimura, Yoshihito Yamada
  • Patent number: 10403575
    Abstract: Semiconductor device interconnect structures comprising nitrided barriers are disclosed herein. In one embodiment, an interconnect structure includes a conductive material at least partially filling an opening in a semiconductor substrate, and a nitrided barrier between the conductive material and a sidewall in the opening. The nitrided barrier comprises a nitride material and a barrier material, such as tantalum, between the nitride material and the sidewall of the substrate.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: September 3, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Gregory C. Herdt, Mikhail A. Treger, Jin Lu
  • Patent number: 10145010
    Abstract: Apparatuses for multi-station semiconductor deposition operations with RF power frequency tuning are disclosed. The RF power frequency may be tuned according to a measured impedance of a plasma during the semiconductor deposition operation. In certain implementations of the apparatuses, a RF power parameter may be adjusted during or prior to the deposition operation. Certain other implementations of the semiconductor deposition operations may include multiple different deposition processes with corresponding different recipes. The recipes may include different RF power parameters for each respective recipe. The respective recipes may adjust the RF power parameter prior to each deposition process. RF power frequency tuning may be utilized during each deposition process.
    Type: Grant
    Filed: November 10, 2017
    Date of Patent: December 4, 2018
    Assignee: Lam Research Corporation
    Inventors: Sunil Kapoor, Karl F. Leeser, Adrien LaVoie, Yaswanth Rangineni