With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Patent number: 8945341
    Abstract: A method and device for wet treatment of a plate-like article comprises a spin chuck for holding and rotating the plate-like article. Gas supply nozzles open on a surface of the spin chuck facing a first side of the plate-like article. The spin chuck is configured to direct gas discharged from the gas supply nozzles radially outwardly through a gap defined between an upper surface of the spin chuck and a downwardly facing surface of a plate-like article positioned on the spin chuck. Liquid supply nozzles open on the surface of the spin chuck facing a first side of the plate-like article and positioned radially outwardly of the gas supply nozzles. The liquid supply nozzles are positioned beneath a peripheral region of a plate-like article positioned on the spin chuck.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: February 3, 2015
    Assignee: LAM Research AG
    Inventors: Masaichiro Ken Matsushita, Michael Puggl
  • Publication number: 20150020974
    Abstract: The present invention relates to a substrate treating apparatus, and more particularly, to an apparatus treating a substrate using plasma. In an embodiment, a baffle is formed with holes distributing a process gas excited to a plasma state, and has a surface which is treated with a surface treating material comprising a silicon compound.
    Type: Application
    Filed: July 19, 2013
    Publication date: January 22, 2015
    Inventor: YoungYeon JI
  • Publication number: 20150020969
    Abstract: A processing chamber and a Faraday shield system for use in a plasma processing chambers are provided. One system includes a disk structure defining a Faraday shield, and the disk structure has a process side and a back side. The disk structure extends between a center region to a periphery region. The disk structure resides within the processing volume. The system also includes a hub having an internal plenum for passing a flow of air received from an input conduit and removing the flow of air from an output conduit. The hub has an interface surface that is coupled to the back side of the disk structure at the center region. A fluid delivery control is coupled to the input conduit of the hub. The fluid delivery control is configured with a flow rate regulator. The regulated air can be amplified or compressed dry air (CDA).
    Type: Application
    Filed: August 23, 2013
    Publication date: January 22, 2015
    Inventors: Saravanapriyan Sriraman, John Drewery, Jon McChesney, Alex Paterson
  • Publication number: 20150013595
    Abstract: A silicon carbide growth method for growing a silicon carbide crystal on a substrate in a hot wall reaction chamber heated to a temperature between 1600° C. and 2000° C. Process gases enter the reaction chamber utilizing at least a primary gas flow, a secondary gas flow, and a shower gas flow. The shower gas flow is fed substantially perpendicularly to the primary and secondary gas flows and is directed towards the substrate. The primary and secondary gas flows are oriented substantially parallel to the surface of the substrate. A silicon precursor gas is entered by the primary gas flow. A hydrocarbon precursor gas is entered in at least one of the primary gas flow, the secondary gas flow, or the shower gas flow. Hydrogen is entered primarily in the secondary flow and the shower head flow. A CVD reactor chamber for use in processing the method.
    Type: Application
    Filed: January 29, 2013
    Publication date: January 15, 2015
    Inventors: Erik Janzén, Olof Kordina
  • Patent number: 8925351
    Abstract: A manufacturing method of a top plate hermetically attached to an upper opening of a tubular shaped container body for forming a processing container of a plasma processing apparatus is provided. The manufacturing method includes the steps of; preparing a top plate body comprised of a dielectric body for transmitting an electromagnetic wave, and having a gas ejection hole for ejecting a gas into the processing container; forming a discharge prevention member having a discharge prevention member body comprised of a dielectric body having a permeability, and a dense member comprised of a dielectric body without a permeability covering at least a side face of the discharge prevention member body; and attaching the discharge prevention member in the gas ejection hole of the top plate body.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: January 6, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Toshihisa Nozawa, Kiyotaka Ishibashi
  • Patent number: 8926790
    Abstract: The invention provides a plasma processing apparatus aimed at suppressing the corrosion caused by reactive gas and heavy-metal contamination caused by plasma damage of components constituting the high-frequency electrode and gas supply unit. The plasma processing apparatus comprises a processing chamber 1 for subjecting a processing substrate 4 to plasma processing, gas supply means 17, 16 and 11 for feeding gas to the processing chamber 1, and an antenna electrode 10 for supplying high-frequency radiation for discharging the gas to generate plasma, wherein the gas supply means includes a gas shower plate 11 having gas discharge holes on the surface exposed to plasma, and a portion of or a whole surface of the conductor 10 exposed to gas constituting the antenna-electrode side of the gas supply means is subjected to ceramic spraying containing no heavy metal to form a protecting film 12.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: January 6, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tsutomu Tetsuka, Toshio Masuda, Naoshi Itabashi, Masanori Kadotani, Takashi Fujii
  • Patent number: 8920565
    Abstract: Affords MOCVD reactors with which, while deposited films are uniformized in thickness, film deposition efficiency can be improved. An MOCVD reactor (1) is furnished with a susceptor (5) and a duct (11). The susceptor (5) has a carrying surface for heating and carrying substrates (20). The duct (11) is for conducting reaction gas (G) to the substrates (20). The susceptor (5) is rotatable with the carrying surface fronting on the duct (11) interior. The duct (11) has channels (11b) and (11c), which merge on the duct end upstream of Point A4. The height of the duct (11) running along the reaction gas (G) flow direction monotonically diminishes heading toward the duct downstream end from Point P1 to Point P2, stays constant from Point P2 to Point P3, and monotonically diminishes heading downstream from Point P3. Point P1 lies upstream of Point A4, while Point P3 lies on the susceptor (5).
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: December 30, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Masaki Ueno, Eiryo Takasuka
  • Patent number: 8920596
    Abstract: In a plasma processing apparatus for processing a substrate by plasmatizing a process gas introduced into a processing container, an introducing unit which introduces the process gas is formed on a ceiling surface of the processing container; a gas retention portion which gathers the process gas supplied from the outside of the processing container through a supply passage, and a plurality of gas ejection holes which allow communication between the gas retention portion and the inside of the processing container are formed in the introducing unit; a gas ejection hole is not formed in a location of the gas retention portion that faces an opening of the supply passage; and a cross section of each of the gas ejection holes has a flat shape.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: December 30, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Mihara, Naoki Matsumoto, Jun Yoshikawa, Kazuo Murakami
  • Patent number: 8915999
    Abstract: A shower plate is disposed in a processing chamber in a plasma processing apparatus, and plasma excitation gas is released into the processing chamber so as to generate plasma. A ceramic member having a plurality of gas release holes having a diameter of 20 ?m to 70 ?m, and/or a porous gas-communicating body having pores having a maximum diameter of not more than 75 ?m communicating in the gas-communicating direction are sintered and bonded integrally with the inside of each of a plurality of vertical holes which act as release paths for the plasma excitation gas.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: December 23, 2014
    Assignees: Tokyo Electron Limited, National University Corporation Tohoku University
    Inventors: Masahiro Okesaku, Tadahiro Ohmi, Tetsuya Goto, Takaaki Matsuoka, Toshihisa Nozawa, Atsutoshi Inokuchi, Kiyotaka Ishibashi
  • Patent number: 8906160
    Abstract: Embodiments of the present invention provide vapor deposition tools. In one example, a vapor deposition tool includes housing. A substrate support is positioned within the housing and configured to support a substrate. A backing plate is positioned above the substrate support. A showerhead is positioned between the substrate support and the backing plate and has a plurality of openings therethrough. A fluid trap member is positioned around a periphery of the showerhead. A fluid trap member actuator is coupled to the fluid trap member and configured to move the fluid trap member between first and second positions relative to the backing plate.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: December 9, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Richard Endo, Edward Haywood
  • Patent number: 8906193
    Abstract: A gas supply unit, for supplying a gas into a processing chamber in which a substrate is processed, includes a plurality of gas supply sources, a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture, a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber, and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line. The gas supply unit also includes pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: December 9, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kenetsu Mizusawa, Keiki Ito, Masahide Itoh
  • Patent number: 8900364
    Abstract: A processing chamber is provided. The processing chamber includes a lid having a plurality of valves affixed thereto, the plurality of valves operable to enable process gases to flow into the chamber. The chamber includes a bottom portion, where the bottom portion includes a base and side walls extending from the base. A surface of the base is configured to support a substrate. A showerhead is affixed to a bottom surface of the lid. A bottom surface of the showerhead is configured to include a central port for providing process gases into the chamber. The central port is surrounded by an annular pump channel. The annular pump channel is surrounded by an annular purge channel, where a first ridge separates the delivery region and the annular pump channel and a second ridge separates the annular pump channel and the annular purge channel.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: December 2, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Jason Wright
  • Patent number: 8900402
    Abstract: A semiconductor substrate processing system includes a substrate support defined to support a substrate in exposure to a processing region. The system also includes a first plasma chamber defined to generate a first plasma and supply reactive constituents of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive constituents of the second plasma to the processing region. The first and second plasma chambers are defined to be independently controlled.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Richard Gottscho
  • Patent number: 8900662
    Abstract: A thin film depositing apparatus and a thin film depositing method used by the thin film depositing apparatus. The thin film depositing apparatus includes a deposition chamber through which a process gas outlet of a deposition source is arranged; a transfer shuttle disposed in the deposition chamber, the transfer shuttle comprising a mounting plate for loading a substrate, the transfer shuttle being reciprocal with respect to the process gas outlet; and at least one bendable auxiliary plate installed at one side of the transfer shuttle, the bendable auxiliary plate closing the process gas outlet when opposite the process gas outlet, the bendable auxiliary plate comprising a folding member for placing the bendable auxiliary plate in each of an unbent state and bent state dependent upon the position of the transfer shuttle.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: December 2, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sung-Yong Lee, Myung-Soo Huh, Choel-Min Jang, Cheol-Rae Jo, In-Kyo Kim, Yong-Suk Lee, Mi-Ra An, Sang-Joon Seo
  • Patent number: 8900403
    Abstract: A semiconductor substrate processing system includes a chamber that includes a processing region and a substrate support. The system includes a top plate assembly disposed within the chamber above the substrate support. The top plate assembly includes first and second sets of plasma microchambers each formed into the lower surface of the top plate assembly. A first network of gas supply channels are formed through the top plate assembly to flow a first process gas to the first set of plasma microchambers to be transformed into a first plasma. A set of exhaust channels are formed through the top plate assembly. The second set of plasma microchambers are formed inside the set of exhaust channels. A second network of gas supply channels are formed through the top plate assembly to flow a second process gas to the second set of plasma microchambers to be transformed into a second plasma.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Richard Gottscho
  • Publication number: 20140349493
    Abstract: Apparatuses and methods for processing substrates are disclosed. A processing apparatus includes a chamber for generating a plasma therein, an electrode associated with the chamber, and a signal generator coupled to the electrode. The signal generator applies a DC pulse to the electrode with sufficient amplitude and sufficient duty cycle of an on-time and an off-time to cause events within the chamber. A plasma is generated from a gas in the chamber responsive to the amplitude of the DC pulse. Energetic ions are generated by accelerating ions of the plasma toward a substrate in the chamber in response to the amplitude of the DC pulse during the on-time. Some of the energetic ions are neutralized to energetic neutrals in response to the DC pulse during the off-time. Some of the energetic neutrals impact the substrate with sufficient energy to cause a chemical reaction on the substrate.
    Type: Application
    Filed: August 13, 2014
    Publication date: November 27, 2014
    Inventor: Neal R. Rueger
  • Publication number: 20140345801
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus in which processes with respect to substrates are performed includes a lower chamber having an opened upper side, the lower chamber including a passage allowing the substrates to pass therethrough in a side thereof, an external reaction tube closing the opened upper side of the lower chamber to provide a process space in which the processes are performed, a substrate holder on which the one ore more substrates are vertically stacked, the substrate holder being movable between a stacking position in which the substrates are stacked within the substrate holder and a process position in which the processes with respect to the substrates are performed, and a gas supply unit disposed inside the external reaction tube to supply a reaction gas into the process space, the gas supply unit forming a flow of the reaction gas having different phase differences in a vertical direction.
    Type: Application
    Filed: November 16, 2012
    Publication date: November 27, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Sung-Tae Je, Byoung-Gyu Song, Yong-Ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Patent number: 8894768
    Abstract: A substrate processing apparatus that simultaneously forms thin films on a plurality of substrates and performs heat treatment includes: a plurality of substrate holders, each including a substrate support that supports a substrate and a first gas pipe having one or a plurality of injection holes; a boat where the plurality of substrate holders are stacked and including a second gas pipe connected with the first gas pipe of each of the substrate holders; a process chamber providing a space in which the substrates stacked in the boat are processed; a conveying unit that carries the boat into/out of the process chamber; a first heating unit disposed outside the process chamber; and a gas supply unit including a third gas pipe connected with the second gas pipe and supplying a heated or cooled gas into the second gas pipe.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-Keon Park, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Heung-Yeol Na, Tae-Hoon Yang, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Won-Bong Baek, Ivan Maidanchuk, Byung-Soo So, Jae-Wan Jung
  • Publication number: 20140338835
    Abstract: In a plasma reactor for processing a workpiece, an electron beam is employed as the plasma source, and sputtered metal atoms are removed from the electron beam to reduce contamination.
    Type: Application
    Filed: June 7, 2013
    Publication date: November 20, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Leonid Dorf, Shahid Rauf, Kenneth S. Collins, Kartik Ramaswamy, Nipun Misra, Gonzalo Antonio Monroy, James D. Carducci, Steven Lane
  • Publication number: 20140342571
    Abstract: A wafer etching apparatus and a wafer etching method using the wafer etching apparatus, which are capable of etching Si wafer in a dry etching method, are disclosed. According to the wafer etching apparatus and the wafer etching method, the capacitively coupled plasma unit or the inductively coupled plasma unit and the remote plasma unit are included together to etch wafer in a high speed and to reduce etching operation time. Additionally, the chuck has an upper surface with roughness so that the wafer can be cooled down through a helium gas provided to the wafer through a minute space between the upper surface and the wafer. Therefore, unwanted plasma which is generated in the groove in the conventional wafer etching apparatus is prevented to prevent damage of the wafer.
    Type: Application
    Filed: October 23, 2012
    Publication date: November 20, 2014
    Applicant: RORZE SYSTEMS CORPORATION
    Inventors: Saeng-Man Park, Seung-Bae Oh
  • Patent number: 8888950
    Abstract: There is provided a substrate supporter capable of securely supporting a substrate such as a wafer on which a device having a predetermined thin film pattern is formed to remove various impurities formed on the rear surface of the substrate, and a plasma processing apparatus having the same. The plasma processing apparatus includes: at least one arm; and a supporting portion extending from the arm toward a substrate seating position of the substrate, so that the plasma processing apparatus can reduce the likelihood of arc discharges compared with conventional dry etching to increase process yield and product reliability, and ensure stable mounting of a substrate.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: November 18, 2014
    Assignee: Charm Engineering Co., Ltd.
    Inventors: Kyung Ho Lee, Jae Ho Guahk, Jae Chul Choi, Young Ki Han, Hee Se Lee, Yong Hwan Lim, Kwan Goo Rha, Seng Hyun Chung, Sun Q Jeon, Jung Hee Lee
  • Patent number: 8888949
    Abstract: A gas distribution apparatus for a plasma processing equipment is provided. The gas distribution apparatus includes a support plate (3) and a showerhead electrode (5) that are secured together parallelly to define a chamber for gas distribution. A first gas distribution plate (4) is arranged in the chamber horizontally. On an upper surface of the gas distribution plate (4), at least one circumferential gas-flow groove (41) around its axis and a plurality of radial gas-flow grooves (42) communicating with the at least one circumferential gas-flow groove (41) is arranged. A plurality of axial viahole (43) are formed in the at least one circumferential gas-flow groove (41) and the plurality of radial gas-flow grooves (42). The gas distribution apparatus can achieve a uniform gas distribution in the plasma processing equipment.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: November 18, 2014
    Assignee: Beijing NMC Co., Ltd.
    Inventor: Liqiang Yao
  • Publication number: 20140332498
    Abstract: Provided are a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method. Particularly, there are provided a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method that are adapted to improve process efficiency and etch uniformity at the back surface of a substrate.
    Type: Application
    Filed: July 21, 2014
    Publication date: November 13, 2014
    Inventors: Young Ki HAN, Young Soo SEO, Hyoung Won KIM, Chi Kug YOON, Sang Hoon LEE
  • Patent number: 8882913
    Abstract: The present invention is related to an apparatus and a method for chemical vapor deposition (CVD) using a showerhead through which a reactive gas of at least one kind and a purge gas is injected over a substrate on which a film is growing. A plural number of reactive gas showerhead modules are laid on a purge gas showerhead module. Each reactive gas is injected from a bottom of the showerhead after flowing through the showerhead as separated, thereby preventing the reactive gases from causing homogeneous gas phase reactions and from generating unwanted particles at the inside of the showerhead. And a purge gas is injected from the bottom surface of the showerhead by forming a protective curtain, thereby suppressing diffusion of the reactive gas injected backwardly.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: November 11, 2014
    Assignees: Piezonics Co., Ltd, Korea Institute of Industrial Technology
    Inventors: Chul Soo Byun, Man Cheol Han
  • Publication number: 20140326277
    Abstract: A method and a device for the plasma treatment of surfaces of at least one workpiece. At least one plasma source is connected to an energy source in order to generate a plasma. A closed area is in fluid connection to the at least one plasma source. At least one suction unit is used to adjust a pressure difference between the at least one plasma source and the closed area.
    Type: Application
    Filed: July 16, 2014
    Publication date: November 6, 2014
    Inventors: Stefan Nettesheim, Klaus Forster, Dariusz Korzec
  • Patent number: 8877000
    Abstract: A plasma-processing chamber including pulsed gas injection orifices/nozzles utilized in combination with continuous flow shower head injection orifices is described. The continuous flow shower head injection orifices introduce a continuous flow of gas while the pulsed gas injection orifices/nozzles cyclically inject a high-pressure gas into the chamber. In one embodiment, a central computer may monitor and control pressure measurement devices and utilize the measurements to adjust processing parameters (e.g. pulse duration, pulse repetition rate, and the pulse mass flow rate of processing gases).
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Eric J. Strang
  • Publication number: 20140311675
    Abstract: Provided is a single wafer etching apparatus etching wafers one at a time. According to the present invention, the single wafer etching apparatus may not only discharge gas by vibrating the wafer even in the case that gas, a byproduct of an etching reaction, is generated, but may also prevent the gas from adsorbing on a surface of the wafer. Also, since the single wafer etching apparatus may directly heat each region of the wafer, the single wafer etching apparatus may uniformly maintain a reaction temperature by heating to higher temperatures from a circumferential direction toward the center of the wafer, even in the case that the temperature of an etching solution increases from the center of the wafer toward the circumferential direction due to the fact that etching is performed while the etching solution moves from the center of the wafer toward the circumferential direction.
    Type: Application
    Filed: December 6, 2012
    Publication date: October 23, 2014
    Applicant: LG SILTRON INC.
    Inventors: Jaehwan Yi, Eunsuck Choi
  • Patent number: 8864935
    Abstract: Embodiments of a plasma generator apparatus for ashing a work piece are provided. The apparatus includes a container adapted for continuous gas flow there through from an inlet end to an outlet end thereof. The container is fabricated of a dielectric material and adapted for ionization therein of a portion of at least one component of gas flowing therethrough. A gas flow distributor is configured to direct gas flow to a region within the container and a coil surrounds at least a portion of side walls of the container adjacent the region of the container to which the gas flow distributor directs gas flow. A radio frequency generator is coupled to the coil.
    Type: Grant
    Filed: June 11, 2012
    Date of Patent: October 21, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: James A. Fair, Vincent Decaux, Anirban Guha, David Cheung, John Keller, Peter Jagusch
  • Patent number: 8858712
    Abstract: An electrode for use in a plasma processing apparatus is provided above a lower electrode within a processing chamber so as to face the lower electrode serving as a mounting table configured to mount thereon a processing target substrate. The electrode includes an upper member provided with a plurality of gas passage holes through which a processing gas is supplied; and a lower member positioned below the upper member and provided with multiple sets of gas discharge holes through which the processing gas is discharged. Here, each gas passage hole may have a diameter larger than that of each gas discharge hole, each set of the gas discharge holes may communicate with corresponding one of the gas passage holes, and each set of the gas discharge holes may be arranged outside the rim of the corresponding one of the gas passage holes when viewed from a top thereof.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Keiichi Nagakubo, Takahiro Miyai
  • Patent number: 8858754
    Abstract: There is provided a plasma processing apparatus capable of easily exhausting a processing gas introduced in a space above a vertically movable upper electrode. The plasma processing apparatus includes a vertically movable upper electrode 120 installed at a ceiling wall 105 of a processing chamber 102 so as to face a lower electrode 111 and having a multiple number of discharge holes 123 for introducing the processing gas; a shield sidewall 310 configured to surround the electrodes and a processing space between the electrodes; an inner gas exhaust path 330 formed at the inside of the shield sidewall and configured to exhaust the atmosphere in the processing space; and an outer gas exhaust path 138 installed at the outside of the shield sidewall and configured to exhaust the processing gas introduced into a space between the upper electrode and the ceiling wall.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masato Horiguchi, Hiroshi Tsujimoto, Takashi Kitazawa
  • Patent number: 8852347
    Abstract: A gas heating device and a processing system for use therein are described for depositing a thin film on a substrate using a vapor deposition process. The gas heating device includes a heating element array having a plurality of heating element zones configured to receive a flow of a film forming composition across or through said plurality of heating element zones in order to cause pyrolysis of one or more constituents of the film forming composition when heated. Additionally, the processing system may include a substrate holder configured to support a substrate. The substrate holder may include a backside gas supply system configured to supply a heat transfer gas to a backside of said substrate, wherein the backside gas supply system is configured to independently supply the heat transfer gas to multiple zones at the backside of the substrate.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Eric M. Lee, Jacques Faguet, Eric J. Strang
  • Patent number: 8852387
    Abstract: There is provided a plasma processing apparatus including: a shower head installed within a processing chamber for processing a substrate and facing a mounting table for mounting the substrate; a multiple number of gas exhaust holes formed through the shower head to be extended from a facing surface of the shower head to an opposite surface to the facing surface; a multiple number of openable and closable trigger holes formed through the shower head to be extended from the facing surface of the shower head to the opposite surface, and configured to allow plasma leakage from the facing surface to the opposite surface; and a partition wall installed in a gas exhaust space provided on the side of the opposite surface of the shower head to divide the gas exhaust space into a multiple number of regions, each region communicating with one or more trigger holes.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Jun Abe, Akihiro Yokota, Takeshi Ohse
  • Patent number: 8852386
    Abstract: A plasma processing apparatus includes a shower head that supplies a gas toward a substrate in a shower pattern through a plurality of gas discharge holes provided in a facing surface of the shower head facing a mounting table; a multiple number of gas exhaust holes provided in the facing surface of the shower head; a vertically movable ring-shaped member that is installed along a circumference of the mounting table and is configured to form, at a raised position, a processing space surrounded by the mounting table, the shower head and the ring-shaped member; a multiplicity of gas supply holes opened in an inner wall of the ring-shaped member to supply a gas into the processing space; and a plurality of gas exhaust holes opened in an inner wall of the ring-shaped member to evacuate the processing space.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Yuki Mochizuki, Jun Abe
  • Patent number: 8852344
    Abstract: The invention relates to an effusing source for film deposition made of a reservoir comprising one hole characterized by the fact that the hole diameter is less than one order of magnitude than the mean free path of the molecules determined by the pressure and its thickness is at least one order of magnitude smaller than the diameter. Preferably the source has several holes.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: October 7, 2014
    Assignee: Ecole Polytechnique Federale de Lausanne (EPFL)
    Inventors: Giacomo Benvenuti, Estelle Halary-Wagner, Simone Amorosi, Patrik Hoffmann
  • Publication number: 20140290860
    Abstract: A plasma process apparatus that utilizes plasma so as to perform a predetermined process on a substrate, and includes a process chamber that houses a substrate subjected to the predetermined plasma process; a microwave generator; a dielectric window attached to the process chamber and provided with a concave portion provided at an outer surface of the dielectric window opposite to the process chamber and a through hole penetrating the dielectric window to the process chamber; a microwave transmission line; and a first process gas supplying portion including a gas conduit including a first portion provided at a front end and a second portion having a larger diameter than the first portion, the gas conduit being inserted from outside of the process chamber such that the first portion is inserted in the through hole and the second portion is inserted in the concave portion.
    Type: Application
    Filed: April 21, 2014
    Publication date: October 2, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Masahide IWASAKI
  • Patent number: 8846539
    Abstract: A plasma processing apparatus includes a heater in thermal contact with a showerhead electrode, and a temperature controlled top plate in thermal contact with the heater to maintain a desired temperature of the showerhead electrode during semiconductor substrate processing. A gas distribution member supplies a process gas and radio frequency (RF) power to the showerhead electrode.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: September 30, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric Lenz
  • Patent number: 8845806
    Abstract: A shower plate is adapted to be attached to the showerhead and includes a front surface adapted to face the susceptor; and a rear surface opposite to the front surface. The shower plate has multiple apertures each extending from the rear surface to the front surface for passing gas therethrough in this direction, and the shower plate has at least one quadrant section defined by radii, wherein the one quadrant section has an opening ratio of a total volume of openings of all the apertures distributed in the section to a total volume of the one quadrant section, which opening ratio is substantially smaller than an opening ratio of another quadrant section of the shower plate.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: September 30, 2014
    Assignee: ASM Japan K.K.
    Inventors: Koei Aida, Tomoyuki Baba
  • Publication number: 20140283995
    Abstract: [Object] To provide a gas nozzle which meets a requirement to suppress the fall of particles. [Solution] A gas nozzle 4 according to an aspect of the present invention includes a columnar main body 13 formed of a ceramic sintered body provided with a through-hole 12 formed therein through which a gas flows, an exhaust port 15 of the through-hole 12 for the gas is formed in one end surface S1 of the main body 13, and the mean width of the profile elements (Rsm) of the one end surface S1 is 5 times or more the average crystalline grain diameter of the ceramic sintered body.
    Type: Application
    Filed: October 30, 2012
    Publication date: September 25, 2014
    Applicant: KYOCERA CORPORATION
    Inventor: Atsushi TANAKA
  • Patent number: 8840726
    Abstract: An apparatus 101 for depositing a thin-film onto a surface of a substrate 113 using precursor gases G1, G2 is disclosed. The apparatus 101 comprises i) a supporting device 111 for holding the substrate 113; and ii) a spinner 105 positioned adjacent to the supporting device 111. Specifically, the spinner 105 includes a hub 106 for connecting to a motor, and one or more blades 201 connected to the hub 106. In particular, the one or more blades 201 are operative to rotate around the hub 106 on a plane to drive a fluid flow of the precursor gases G1, G2, so as to distribute the precursor gases G1, G2 across the surface of the substrate 113.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: September 23, 2014
    Assignee: ASM Technology Singapore Pte Ltd
    Inventors: Zilan Li, Teng Hock Kuah, Jiapei Ding, Ravindra Raghavendra
  • Patent number: 8840724
    Abstract: The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: September 23, 2014
    Assignee: Honda Motor Co., Ltd.
    Inventors: Leonid Grigorian, Louis Hornyak, Anne C. Dillon, Michael J. Heben
  • Publication number: 20140273301
    Abstract: An apparatus for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing, comprising a plurality of gas injectors for admitting a processing gas into an etching chamber. Each gas injector of the plurality of gas injectors is disposed along a track within the etching chamber and moveable along the track. Further, each gas injector is coupled with a throttling valve or nozzle to permit adjustment of processing gas flow rate. A method for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing includes performing a chemical deposition or etch using the plurality of moveable and adjustable gas injectors and measuring the critical dimension uniformity. Adjustments to the location of at least one gas injector or the processing gas flow rate to at least one gas injector are made to increase critical dimension uniformity.
    Type: Application
    Filed: November 26, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tzung-Shiun LU, Chun-Lang CHEN, Shih-Hao YANG, Jong-Yuh CHANG
  • Patent number: 8828141
    Abstract: A substrate processing apparatus of the present invention comprises: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; a processing gas supply unit for supplying two or more types of the processing gases to the inside of the processing chamber; an inactive gas supply unit for supplying an inactive gas to the inside of the processing chamber; and an exhaust unit for exhausting an atmosphere of the inside of the processing chamber, wherein the processing gas supply unit has at least two processing gas supply nozzles which extend running along an inner wall of the processing chamber in the stacking direction of the substrates and supply the processing gas to the inside of the processing chamber, and the inactive gas supply unit has a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich at least one processing gas supp
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: September 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Patent number: 8821641
    Abstract: Provided is a substrate treatment apparatus. The substrate treatment apparatus includes a process chamber, a support unit disposed within the process chamber to support a substrate, and a nozzle unit disposed within the process chamber to spray gas. The nozzle unit includes a first nozzle spraying process gas, and a second nozzle spraying blocking gas onto an inner wall of the process chamber or an area adjacent to the support unit to prevent the process gas from being deposited on the inner wall of the process chamber or the support unit.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: September 2, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Gon Lee, Hyeong Soo Park
  • Patent number: 8808454
    Abstract: A gas injection unit allows uniform cooling thereof via smooth flow of coolant and can be easily manufactured. The gas injection unit for a chemical vapor deposition apparatus includes, inter alia: a gas distribution housing; a cooling housing positioned between the gas distribution housing and a processing chamber where a deposition process is performed, and formed with a coolant inlet through which coolant is introduced, and a coolant outlet through which the coolant is discharged; a processing gas pipe of which one end is opened to the gas distribution housing and the other end is opened to the processing chamber, the processing gas pipe penetrating the cooling housing; and a first wall part positioned inside the cooling housing such that an inside of the cooling housing is partitioned into a central path and a peripheral path, and formed with a penetration hole such that the central path communicates with the peripheral path.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: August 19, 2014
    Assignee: LIGADP Co., Ltd.
    Inventor: Jae Moo Lee
  • Publication number: 20140227881
    Abstract: An exemplary system may include a chamber configured to contain a semiconductor substrate in a processing region of the chamber. The system may include a first remote plasma unit fluidly coupled with a first access of the chamber and configured to deliver a first precursor into the chamber through the first access. The system may still further include a second remote plasma unit fluidly coupled with a second access of the chamber and configured to deliver a second precursor into the chamber through the second access. The first and second access may be fluidly coupled with a mixing region of the chamber that is separate from and fluidly coupled with the processing region of the chamber. The mixing region may be configured to allow the first and second precursors to interact with each other externally from the processing region of the chamber.
    Type: Application
    Filed: March 8, 2013
    Publication date: August 14, 2014
    Applicant: Applied Materials, Inc.
    Inventor: Applied Materials, Inc.
  • Patent number: 8801892
    Abstract: Etching a layer over a substrate is provided. The substrate is placed in a plasma processing chamber. A first gas is provided to an inner zone within the plasma processing chamber. A second gas is provided to the outer zone within the plasma processing chamber, where the outer zone surrounds the inner zone and the first gas is different than the second gas. Plasmas are simultaneously generated from the first gas and second gas. The layer is etched, where the layer is etched by the plasmas from the first gas and second gas.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: August 12, 2014
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Babak Kadkhodayan, Di Wu, Kenji Takeshita, Bi-Ming Yen, Xingcai Su, William M. Denty, Jr., Peter Loewenhardt
  • Patent number: 8801856
    Abstract: High-throughput OVJP systems and methods are provided that may use multiple flow paths having different conductances to enable deposition with relatively short lag times. A high-throughput OVJP system may include a flow tube having a cross-sectional area much larger than the diameter of one or more apertures through which source material may be expelled during deposition. Use of such a configuration may allow for deposition with reduced lag times.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: August 12, 2014
    Assignee: Universal Display Corporation
    Inventors: Paul E. Burrows, Jeffrey Silvernail, Julie J. Brown
  • Patent number: 8800484
    Abstract: A plasma processing apparatus includes a processing container in which a plasma processing is performed on a substrate to be processed, a holding stage which is disposed in the processing container and holds thereon the substrate to be processed, a dielectric plate which is provided at a location facing the holding stage and transmits a microwave into the processing container, and a reactive gas supply unit which supplies a reactive gas for plasma processing toward the central region of the substrate to be processed held by the holding stage. Here, the reactive gas supply unit includes an injector base, which is disposed at a location more recessed inside the dielectric plate than a wall surface of the dielectric plate facing the holding stage. A supply hole, which supplies a reactive gas for plasma processing into the processing container, is formed in the injector base.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: August 12, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Matsumoto, Kazuyuki Kato, Masafumi Shikata, Kazuto Takai
  • Patent number: 8784563
    Abstract: A system and method for mixing a plurality of gases for an atomic layer deposition (ALD) reactor. The mixer is configured to mix the plurality of gases while minimizing the potential for re-circulation within the mixer. The mixer is further configured to maintain the flow velocity of the plurality of gases as the gases pass through the mixer.
    Type: Grant
    Filed: December 9, 2011
    Date of Patent: July 22, 2014
    Assignee: ASM America, Inc.
    Inventors: Ryan M Schmidt, Mohith Verghese
  • Publication number: 20140197419
    Abstract: Embodiments relate to use of a particle accelerator beam to form thin films of material from a bulk substrate. In particular embodiments, a bulk substrate (e.g. donor substrate) having a top surface is exposed to a beam of accelerated particles. In certain embodiments, this bulk substrate may comprise GaN; in other embodiments this bulk substrate may comprise Si, SiC, or other materials. Then, a thin film or wafer of material is separated from the bulk substrate by performing a controlled cleaving process along a cleave region formed by particles implanted from the beam. In certain embodiments this separated material is incorporated directly into an optoelectronic device, for example a GaN film cleaved from GaN bulk material. In some embodiments, this separated material may be employed as a template for further growth of semiconductor materials (e.g. GaN) that are useful for optoelectronic devices.
    Type: Application
    Filed: January 15, 2014
    Publication date: July 17, 2014
    Applicant: QMAT, Inc.
    Inventors: Francois J. HENLEY, Sien KANG, Albert LAMM