Parallel Plate Electrodes Patents (Class 156/345.47)
  • Patent number: 7968469
    Abstract: A method for processing a workpiece in a plasma reactor chamber includes coupling RF power at a first VHF frequency f1 to a plasma via one of the electrodes of the chamber, and providing a center ground return path for RF current passing directly between the ceiling electrode and the workpiece support electrode for the frequency f1. The method further includes providing a variable height edge ground annular element and providing a ground return path through the edge ground annular element for the frequency f1. The method controls the uniformity of plasma ion density distribution by controlling the distance between the variable height edge ground annular element and one of: (a) height of ceiling electrode or (b) height of workpiece support electrode.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Douglas A. Buchberger, Jr., Shahid Rauf, Kallol Bera, Lawrence Wong, Walter R. Merry, Matthew L. Miller, Steven C. Shannon, Andrew Nguyen, James P. Cruse, James Carducci, Troy S. Detrick, Subhash Deshmukh, Jennifer Y. Sun
  • Patent number: 7951261
    Abstract: The present invention relates to a plasma etching apparatus. In the apparatus, potential difference is applied between a substrate support with a substrate seated thereon and a electrode surrounding an edge region of the substrate, and a distance between the substrate and the electrode is set to 3 mm or less so as to locally generate plasma in an area between the substrate and the electrode, thereby removing particles and a thin film in the edge region of the substrate.
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: May 31, 2011
    Assignee: Jusung Engineering Co. Ltd.
    Inventor: Bu-Il Jeon
  • Patent number: 7942112
    Abstract: A system and method for preventing formation of a plasma-inhibiting substance within a plasma chamber is provided. In one embodiment, an apparatus that includes a barrier component configured to be disposed within a plasma chamber. The barrier component includes a wall that defines a plasma formation region where a chemically-reducing species is formed from a fluid. A portion of the wall is formed of a substance that is substantially inert to the chemically-reducing species. The wall prevents the chemically-reducing species from interacting with an inner surface of the plasma chamber to form a conductive substance. The barrier component also includes an opening in fluid communication with the plasma formation region. The fluid is introduced into the plasma formation region via the opening.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: May 17, 2011
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Fernando Gustavo Tomasel, Justin Mauck, Andrew Shabalin, Denis Shaw, Juan Jose Gonzalez
  • Patent number: 7943007
    Abstract: A device for cleaning a bevel edge of a semiconductor substrate. The device includes: a lower support having a cylindrical top portion; a lower plasma-exclusion-zone (PEZ) ring surrounding the outer edge of the top portion and adapted to support the substrate; an upper dielectric component opposing the lower support and having a cylindrical bottom portion; an upper PEZ ring surrounding the outer edge of the bottom portion and opposing the lower PEZ ring; and at least one radiofrequency (RF) power source operative to energize process gas into plasma in an annular space defined by the upper and lower PEZ rings, wherein the annular space encloses the bevel edge.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: May 17, 2011
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Alan M. Schoepp, Gregory Sexton, Yunsang Kim, William S. Kennedy
  • Patent number: 7939778
    Abstract: A plasma processing chamber, which includes an upper electrode assembly, a lower electrode assembly, and a plasma confinement assembly. The upper electrode assembly includes an upper electrode, a backing member, the backing member attachable to an upper surface of the upper electrode, and a guard ring surrounding an outer surface of the backing member and located above the upper surface of the upper electrode, wherein the guard ring is configured to provide an inner gap between the outer surface of the backing member and an inner periphery of the guard ring. The lower electrode assembly is adapted to receive a semiconductor substrate. The plasma confinement assembly is separated from an outer periphery of the upper electrode and the backing member by the guard ring.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: May 10, 2011
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Daniel Brown, Saurabh J. Ullal
  • Patent number: 7935186
    Abstract: A plasma processing apparatus is described and which includes a chamber having at least two processing stations which are separated by a wall. At least one channel is formed in the wall, and wherein the channel has a width to length ratio of less than about 1:3.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: May 3, 2011
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventor: Qing Qian
  • Publication number: 20110088850
    Abstract: A plasma processing apparatus that enables polymer to be removed from an electrically insulated electrode. A susceptor of the plasma processing apparatus is disposed in a substrate processing chamber having a processing space therein. A radio frequency power source is connected to the susceptor. An upper electrode plate is electrically insulated from a wall of the substrate processing chamber and from the susceptor. A DC power source is connected to the upper electrode plate. A controller of the plasma processing apparatus determines a value of a negative DC voltage to be applied to the upper electrode plate in accordance with processing conditions for RIE processing to be carried out.
    Type: Application
    Filed: December 20, 2010
    Publication date: April 21, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Yutaka Matsui, Manabu Sato
  • Patent number: 7927455
    Abstract: A plasma processing apparatus including a sealable chamber that is sealable, a gas supply section that supplies a reactive material gas into the chamber, and a plurality of cathode and anode electrode pairs provided within the chamber, connected to an external power supply, and producing plasma discharges through the material gas, respectively, wherein the plurality of cathode and anode electrode pairs are provided at a distance from one another at which the plasma discharges are prevented from interfering with one another.
    Type: Grant
    Filed: October 19, 2005
    Date of Patent: April 19, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Katsushi Kishimoto, Yuhsuke Hukuoka
  • Patent number: 7927425
    Abstract: A power-delivery mechanism is provided in the present invention, which utilizes an element with airtight and flexible characteristics coupled to a power-generating unit so as to generate a motion in a specific direction. Besides, an apparatus of plasma -enhanced chemical vapor deposition (PECVD) is also provided in the present invention, which comprises the power-delivery mechanism to load/unload a workpiece onto a stage for processing automatically. Meanwhile, the present invention also provides a height-adjusting unit and a position-indicating unit allowing the operator to adjust the distance between an upper electrode and a lower electrode of the PECVD so that the operator is capable of monitoring and adjusting the distance easily between the upper electrode and the lower electrode outside the chamber of the PECVD.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: April 19, 2011
    Assignee: Industrial Technology Research Institute
    Inventors: Yuan-Yuan Chiang, Kuan-Chou Chen, Fu-Ching Tung
  • Patent number: 7901509
    Abstract: A heating apparatus for regulating/controlling the surface temperature of a substrate is provided. At least a thermal pyrolytic graphite (TPG) layer is embedded in the heater to diffuse the temperature difference of the various components in the heating apparatus and provide temporal and spatial control of the surface temperature of the substrate, for a relatively uniform substrate temperature with the difference between the maximum and minimum temperature points on the substrate of less than 10° C.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: March 8, 2011
    Assignee: Momentive Performance Materials Inc.
    Inventors: John Mariner, Ajit Sane, Toshiki Ebata, Marc Schaepkens, Xiang Liu, Wei Fan
  • Patent number: 7895970
    Abstract: A structure for a plasma processing chamber which makes it possible to control the potential therein and simplify the construction of the plasma processing chamber. A gas-introducing showerhead 34 is disposed in the plasma processing chamber 10 including a container 11 having a process space S for receiving a semiconductor wafer W, and a susceptor 12 disposed in the container 11, for mounting the received semiconductor wafer W thereon. The susceptor 12 is connected to high-frequency power supplies 20 and 46. An electrode support 39 of the gas-introducing showerhead 34 is electrically grounded. An electrically floating top electrode plate 38 of the gas-introducing showerhead 34 is disposed between the electrode support 39 and the process space S. The top electrode plate 38 has a surface exposed to the process space S. An insulating film 48 is formed of a dielectric material and disposed between the electrode support 39 and the top electrode plate 38.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: March 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Toshihiro Hayami, Yutaka Matsui
  • Patent number: 7883601
    Abstract: An apparatus for controlling a plasma etching process includes plasma control structure that can vary a size of a plasma flow passage, vary a speed of plasma flowing through the plasma flow passage, vary plasma concentration flowing through the plasma flow passage, or a combination thereof.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Ming Chang, Chi-Lun Lu
  • Patent number: 7883600
    Abstract: An RF supply system is to be connected to an RF electrode disposed outside or inside a process chamber to assist a plasma process performed in the process chamber. This system includes an RF power supply, a matching unit, and an impedance converter. The RF power supply is configured to supply an RF power for plasma generation to the electrode through a transmission path. The matching unit is disposed on the transmission path between the RF power supply and the electrode, and configured to match a load impedance viewing from the RF power supply with an impedance of the RF power supply side. The impedance converter is disposed on the transmission path between the matching unit and the electrode, and configured to convert a load impedance viewing from the matching unit to an impedance higher than an actual impedance on the electrode side.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: February 8, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Sato
  • Patent number: 7858898
    Abstract: A device for cleaning a bevel edge of a semiconductor substrate. The device includes a lower electrode assembly that has a top surface and is adapted to support the substrate and an upper electrode assembly that has a bottom surface opposing the top surface. The lower and upper electrode assemblies generate plasma for cleaning the bevel edge of the substrate disposed between the top and bottom surfaces during operation. The device also includes a mechanism for suspending the upper electrode assembly over the lower support and adjusting the tilt angle and horizontal translation of the bottom surface relative to the top surface.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: December 28, 2010
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Alan M. Schoepp, Gregory Sexton, Andras Kuthi, Yunsang Kim, William S. Kennedy
  • Patent number: 7850819
    Abstract: The invention relates to a plasma reactor with high productivity for surface coating or modification of objects and/or substrates by plasma processes in a processing chamber, preferably as vacuum processes at reduced pressure, having an entrance lock to the processing chamber and an exit lock. The invention is to create a plasma reactor of high productivity, which, with uniformly high productivity, will make possible a rapid simple and selective cleaning of the plasma sources and adjacent parts of the processing chamber. According to the invention, two plasma sources (1, 2) are provided, each alternately couplable to a reaction chamber (7) or a re-etching chamber (8). The plasma sources (1, 2) are fixed for this purpose to an alternating means (6) in such manner that the plasma sources (1, 2) are positionable by a rotatory motion of the alternating means (6) in the reaction chamber (7) or the re-etching chamber (8).
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: December 14, 2010
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Harald Wanka, Johann Georg Reichart, Hans-Peter Voelk
  • Patent number: 7849815
    Abstract: This application discloses a practical plasma processing apparatus capable of plasma confinement without plasma-density non-uniformity and electric power loss. The apparatus comprises a plasma shield that surrounds a plasma generation region to prevent plasma from diffusing. The shield has at least one opening. The apparatus comprises a diffusion prevention electrode for preventing the plasma from diffusing through the opening of the plasma shield. The surface of the plasma shield exposed to the plasma is made of insulator. The diffusion prevention electrode is located where electrons diffusing toward the opening or having diffused through the opening from the plasma flow into itself.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: December 14, 2010
    Assignee: Canon Anelva Corporation
    Inventors: Tomoaki Osada, Yoshikazu Nozaki
  • Patent number: 7845309
    Abstract: An apparatus for processing a substrate with a plasma. The apparatus includes first and second electrodes positioned with a spaced apart relationship. A separating ring has a vacuum-tight engagement with confronting surfaces of the first electrode and the second electrode to define an evacuatable processing region therebetween. Communicating with the processing region is a process gas port for introducing a process gas to the processing region. The processing region may be evacuated through a vacuum port defined in one of the first and second electrodes to a pressure suitable for exciting a plasma from the process gas in the processing region when the first and second electrodes are powered.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: December 7, 2010
    Assignee: Nordson Corporation
    Inventors: Robert S. Condrashoff, James P. Fazio, James D. Getty, James S. Tyler
  • Patent number: 7837825
    Abstract: A plasma reactor comprises a chamber, a bottom electrode, a top electrode, a first set of confinement rings, a second set of confinement rings, and a ground extension. The top and bottom electrodes, the first and second sets of confinement rings, and the ground extension are all enclosed within the chamber. The first set of confinement rings is substantially parallel to the bottom electrode and the top electrode and surrounds a first volume between the bottom electrode and the top electrode. The second set of confinement rings is substantially parallel to the bottom electrode and the top electrode and surrounds a second volume between the bottom electrode and the top electrode. The second volume is at least greater than the first volume. A ground extension is adjacent to and surrounds the bottom electrode. The first set of confinement rings and the second set of confinement rings are capable of being raised and lowered to extend into a region above the ground extension.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: November 23, 2010
    Assignee: Lam Research Corporation
    Inventor: Andreas Fischer
  • Patent number: 7827657
    Abstract: A method of making an electrode assembly for a plasma processing apparatus includes securing a backing member to an electrode wherein first fastener members mounted in apertures in the backing member cooperate with second fastener members to hold the electrode assembly to a support member, such as a temperature-controlled top plate in a plasma processing chamber.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: November 9, 2010
    Assignee: Lam Research Corporation
    Inventors: William S. Kennedy, David E. Jacob
  • Patent number: 7829815
    Abstract: A adjustable upper coil or electrode for a reaction chamber apparatus useable in semiconductor processing, is constructed so that its shape may be selectively changed or so at least two portions thereof may be selectively driven at different power and/or frequencies. The adjustable upper coil or electrode, therefore, enables the plasma density distribution in the reaction chamber apparatus to be selectively controlled.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: November 9, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ying-Lin Chen, Chi-An Kao, Po-Zen Chen, Yi-Li Hsiao, Chen-Hua Yu, Jean Wang, Lawrance Sheu
  • Patent number: 7824520
    Abstract: In the case of generating plasma under atmospheric pressure, the particle generated due to generation of high-density plasma is to be a cause of a defect such as a point defect or a line defect of a display portion in a display device. The present invention is offered in view of the above situation, and provides a plasma treatment apparatus for suppressing generation of a particle. According to the present invention, plasma is generated in a limited minimum region to be treated by a plasma treatment over a substrate to be treated. Generation of a particle is suppressed to a minimum by providing a plurality of plasma generation units generating minimum plasma having a similar size as the limited minimum region, changing a relative position of the plurality of plasma generation units and the substrate to be treated, and performing a plasma treatment to a limited predetermined region.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: November 2, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Osamu Nakamura
  • Patent number: 7820007
    Abstract: This silicon electrode plate for plasma etching is a silicon electrode plate for plasma etching with superior durability including silicon single crystal which, in terms of atomic ratio, contains 3 to 11 ppba of boron, and further contains a total of 0.5 to 6 ppba of either or both of phosphorus and arsenic.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: October 26, 2010
    Assignees: Sumco Corporation, Mitsubishi Materials Corporation
    Inventors: Hideki Fujiwara, Kazuhiro Ikezawa, Hiroaki Taguchi, Naofumi Iwamoto, Toshinori Ishii, Takashi Komekyu
  • Publication number: 20100264118
    Abstract: A large surface substrate (5, 5a) is Rf vacuum plasma treated with the help of an electrode arrangement (9) consisting of an even number of electrode strips (9a, 9b). At least one of the strips is Rf supplied at least two distinct loci (P1, P2) along the central axis (A) of the addressed strip (9a).
    Type: Application
    Filed: December 19, 2008
    Publication date: October 21, 2010
    Applicant: OERLIKON SOLAR IP AG, TRUBBACH
    Inventors: Stephan Jost, Andreas Belinger
  • Patent number: 7815767
    Abstract: A plasma processing apparatus of the present invention can reduce a manufacturing cost of the apparatus and a footprint by decreasing a load applied to a device for varying a distance between electrodes in comparison with a conventional apparatus and, at the same time, easily meet a scaling up of a substrate to be processed. A lower electrode and an upper electrode are installed inside a vacuum chamber. Provided at a lower electrode supporting member are openings for operating the upper electrode by using a driving mechanism installed outside the vacuum chamber. An intermediate ring is installed at bellows for air-tightly sealing the openings. Further, the intermediate ring is connected to a connecting member connected to an upper electrode supporting member and the driving mechanism.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: October 19, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Tetsuji Sato
  • Patent number: 7811410
    Abstract: A complex waveform frequency matching device is disclosed. In various embodiments, the matching device comprises a plurality of radio frequency generators coupled in parallel with one another. Each subsequent one of the plurality of radio frequency generators is configured to produce a harmonic frequency related by an integral multiple to a frequency produced by any lower-frequency producing radio frequency generator, thereby generating a complex waveform. A plurality of frequency splitter circuits is coupled to an output of the plurality of radio frequency generators, and each of a plurality of matching networks has an input coupled to an output of one of the plurality of frequency splitter circuits and an output configured to be coupled to a plasma chamber.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: October 12, 2010
    Assignee: Lam Research Corporation
    Inventors: Andres Leming, Andras Kuthi, Thomas Anderson
  • Publication number: 20100255667
    Abstract: It was found out that when radicals generated by plasma are fed to a treatment chamber via a plurality of holes (111) formed on a partition plate which separates a plasma-forming chamber (108) from the treatment chamber, and the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, the excitation energy of the radicals is suppressed and thereby the substrate surface treatment at high Si-selectivity becomes possible, which makes it possible to conduct the surface treatment of removing native oxide film and organic matter without deteriorating the flatness of the substrate surface. The radicals in the plasma are fed to the treatment chamber via radical-passing holes (111) of a plasma-confinement electrode plate (110) for plasma separation, the treatment gas is fed to the treatment chamber (121) to be mixed with the radicals in the treatment chamber, and then the substrate surface is cleaned by the mixed atmosphere of the radicals and the treatment gas.
    Type: Application
    Filed: April 23, 2010
    Publication date: October 7, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya SEINO, Manabu IKEMOTO, Kimiko MASHIMO
  • Patent number: 7806985
    Abstract: An improvement has been made in contact states between a rotating electrode arranged inside a vacuum chamber and a power supply mechanism which touches the rotating electrode to supply electric power thereto. A vacuum device is provided with a vacuum chamber, a rotating electrode arranged inside and electrically insulated from the vacuum chamber, and a power supply mechanism which touches the rotating electrode to supply electric power thereto, wherein the rotating electrode has an annular shape and horizontally rotates with respect to the center axis of the annular shape, and the power supply mechanism is composed of electrode members, and the electrode member and the rotating electrode come into contact with each other at at least one contact surface.
    Type: Grant
    Filed: January 24, 2005
    Date of Patent: October 5, 2010
    Assignee: Showa Shinku Co., Ltd.
    Inventors: Masayuki Takimoto, Hiroyuki Komuro, Yutaka Fuse, Tatsumi Abe, Kazuhito Aonahata
  • Patent number: 7799237
    Abstract: A plasma processing apparatus includes a plasma reaction chamber in which a plasma is generated for processing. First and second electrodes are located in the chamber for generating the plasma. First and second RF power sources provide RF power to the first and second electrodes, respectively. The apparatus also includes first and second impedance matching circuits through which the RF power is respectively provided from the first and second RF power supplies to the first and second electrodes. A first plasma controller monitors plasma density and, in response thereto, adjusts the RF power supplied by the first RF power source to the first electrode to achieve a given plasma density. A second plasma controller monitors the ion energy of plasma species impinging on a semiconductor structure associated with the second electrode and, in response thereto, adjusts the RF power supplied by the second RF power source to the second electrode to achieve a given ion energy.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: September 21, 2010
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventor: Seiji Iseda
  • Publication number: 20100224325
    Abstract: A plasma processing apparatus includes a processing chamber in which a target object is processed by a plasma, a first and a second electrode that are provided in the processing chamber to face each other and have a processing space therebetween, and a high frequency power source that is connected to at least one of the first and the second electrode to supply a high frequency power to the processing chamber. And at least one of the first and the second electrode includes a base formed of a plate-shaped dielectric material and a resistor formed of a metal and provided between the base and the plasma.
    Type: Application
    Filed: March 5, 2010
    Publication date: September 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji HIMORI, Daisuke Hayashi
  • Patent number: 7791281
    Abstract: Method and apparatus for generating and sustaining a glow discharge plasma in a plasma discharge space comprising at least two spaced electrodes. The method and apparatus are arranged for performing the steps of introducing in the discharge space a gas or gas mixture under atmospheric pressure conditions, energizing the electrodes by applying an AC energizing voltage (Va) to the electrodes, and controlling the energizing voltage (Va) such that at plasma generation a sharp relative decrease of displacement current is provided.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: September 7, 2010
    Assignee: Fuji Photo Film B.V.
    Inventors: Eugen Aldea, Jan Bastiaan Bouwstra, Mauritius Cornelius Maria Van De Sanden, Hindrik Willem De Vries
  • Patent number: 7789992
    Abstract: A neutral beam etching device for separating and accelerating a plasma is provided. The device includes a first chamber having a first opening formed at one side thereof; a second chamber having a second opening formed at one side thereof and being disposed inside the first chamber to form a plasma generation area; a first channel fluidly communicating the first opening with the plasma generation area; a second channel fluidly communicating the second opening with the plasma generation area; a coil disposed on an outer surface of the first chamber and which generates a magnetic field to generate a plasma in the plasma generation area; and an acceleration part disposed within the first and second chambers and configured to separate the plasma into a positive ion and an electron, accelerate the positive ion and the electron, and discharge the positive ion and electron through the first and the second channels.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: September 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Won-tae Lee
  • Patent number: 7779784
    Abstract: Embodiments of the present invention relate to an apparatus and method of plasma assisted deposition by generation of a plasma adjacent a processing region. One embodiment of the apparatus comprises a substrate processing chamber including a top shower plate, a power source coupled to the top shower plate, a bottom shower plate, and an insulator disposed between the top shower plate and the bottom shower plate. In one aspect, the power source is adapted to selectively provide power to the top shower plate to generate a plasma from the gases between the top shower plate and the bottom shower plate. In another embodiment, a power source is coupled to the top shower plate and the bottom shower plate to generate a plasma between the bottom shower plate and the substrate support.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Chen-An Chen, Avgerinos Gelatos, Michael X. Yang, Ming Xi, Mark M. Hytros
  • Patent number: 7780814
    Abstract: A plasma reactor for processing a workpiece in a reactor chamber having a wafer support pedestal within the chamber and process gas injection apparatus, an RF bias power generator coupled to the wafer support pedestal and having a bias frequency, a source power applicator, an RF source power generator having a source frequency and a coaxial cable coupled between the RF source power generator and the source power applicator includes a filter connected between the coaxial cable and the source power applicator that enhances uniformity of etch rate across the wafer and from reactor to reactor. The filter includes a set of reflection circuits coupled between the source power applicator and a ground potential and being tuned to, respectively, the bias frequency and intermodulation products of the bias frequency and the source frequency.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: John A. Pipitone, Kenneth D. Smyth, Mei Po (Mabel) Yeung
  • Patent number: 7780790
    Abstract: Disclosed is a vacuum processing apparatus in which a conducive partition having a plurality of through holes is formed inside a vacuum processing vessel, and an internal space of the vacuum processing vessel is partitioned into a plasma generating space in which a high-frequency electrode is installed to function as a counter electrode with respect to the partition, and a substrate processing space in which a substrate is set. This vacuum processing apparatus includes a gas reservoir formed on a sidewall of the vacuum processing vessel and communicating with the plasma generating space, and a gas supply system connected to the gas reservoir to supply a gas to the gas reservoir.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: August 24, 2010
    Assignee: Canon Anelva Corporation
    Inventor: Hiroshi Nogami
  • Patent number: 7771562
    Abstract: An integrated capacitively-coupled and inductively-coupled device is provided for plasma etching that may be used as a primary or secondary source for generating a plasma to etch substrates. The device is practical for processing advanced semiconductor devices and integrated circuits that require uniform and dense plasma. The invention may be embodied in an apparatus that contains a substrate support, typically including an electrostatic chuck, that controls ion energy by capacitively coupling RF power to the plasma and generating voltage bias on the wafer relative to the plasma potential. An etching electrode is provided opposite the substrate support. An integrated inductive coupling element is provided at the perimeter of the etching electrode that increases plasma density at the perimeter of the wafer, compensating for the radial loss of charged particles toward chamber walls, to produce uniform plasma density above the processed wafer.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: August 10, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Patent number: 7767056
    Abstract: This application discloses a High-Frequency plasma processing apparatus comprising a process chamber in which a substrate to be processed is placed, a process-gas introduction line for introducing a process gas into the process chamber, a first HF electrode provided in the process chamber, a first HF power source for applying voltage to the first HF electrode, thereby generating plasma of the process gas. The apparatus further comprises a second HF electrode facing the first HF electrode in the process chamber, interposing discharge space, and a series resonator connecting the second HF electrode and the ground. The frequency of the first HF power source is not lower than 30 MHz. The series resonator is resonant as the distributed constant circuit at the frequency of the first HF power source.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: August 3, 2010
    Assignee: Canon Anelva Corporation
    Inventors: Yasumi Sago, Masayoshi Ikeda, Nobuaki Tsuchiya, Hisaaki Sato
  • Patent number: 7767055
    Abstract: A capacitive coupling plasma processing apparatus includes a process chamber configured to have a vacuum atmosphere, and a process gas supply section configured to supply a process gas into the chamber. In the chamber, a first electrode and a second electrode are disposed opposite each other. An RF power supply is disposed to supply an RF power to the first or second electrode to form an RF electric field within a plasma generation region between the first and second electrodes, so as to turn the process gas into plasma. The target substrate is supported by a support member between the first and second electrodes such that a process target surface thereof faces the second electrode. A conductive functional surface is disposed in a surrounding region around the plasma generation region and grounded to be coupled with the plasma in a sense of DC to expand the plasma.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: August 3, 2010
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Shinji Himori, Noriaki Imai, Katsumi Horiguchi, Takaaki Nezu, Shoichiro Matsuyama, Hiroki Matsumaru, Toshihiro Hayami, Kazuya Nagaseki, Itsuko Sakai, Tokuhisa Ohiwa, Yoshikazu Sugiyasu
  • Patent number: 7757633
    Abstract: A magnetically enhanced plasma is produced with a permanent magnet assembly adjacent to a radio frequency (RF) biased wafer support electrode in a vacuum processing chamber of a semiconductor wafer processing apparatus. An annular peripheral region is provided on the wafer support around the perimeter of the wafer being processed. A magnet arrangement using a plurality of magnet rings forms a magnetic tunnel over the peripheral region at which the plasma is generated away from the wafer. The magnetic field has components parallel to the substrate support surface over the annular peripheral region but is generally isolated from the wafer. Preferably, the magnetic field has a flat portion parallel to the support surface in the peripheral region. Plasma propagates by diffusion from the peripheral region across the wafer surface. The magnets can be manipulated to optimize plasma uniformity adjacent the substrate being processed.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: July 20, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Derrek Andrew Russell
  • Publication number: 20100176086
    Abstract: A plasma processing apparatus performing a plasma processing to a substrate includes a processing vessel having a vacuum exhaustible processing chamber; a mounting table serving as a lower electrode for mounting thereon the substrate in the processing chamber; a circular ring member arranged to surround a periphery of the substrate whose radial one end portion is supported by the mounting table; an upper electrode arranged above the lower electrode to face same; and a power feed for supplying the mounting table with a high frequency power. The plasma processing apparatus further includes a first intermediate electrical conductor supporting a middle portion of the circular ring member; and a first movable electrical conductor which is selectively electrically connected or disconnected to the power feed; and a second intermediate electrical conductor supporting a radial opposite end portion of the circular ring member.
    Type: Application
    Filed: January 13, 2010
    Publication date: July 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Manabu IWATA
  • Patent number: 7749353
    Abstract: A method for etching a high aspect ratio feature through a mask into a layer to be etched over a substrate is provided. The substrate is placed in a process chamber, which is able to provide RF power at a first frequency, a second frequency different than the first frequency, and a third frequency different than the first and second frequency. An etchant gas is provided to the process chamber. A first etch step is provided, where the first frequency, the second frequency, and the third frequency are at power settings for the first etch step. A second etch step is provided, where the first frequency, the second frequency, and the third frequency are at a different power setting.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: July 6, 2010
    Assignee: Lam Research Corporation
    Inventors: Camelia Rusu, Rajinder Dhindsa, Eric A. Hudson, Mukund Srinivasan, Lumin Li, Felix Kozakevich
  • Patent number: 7743730
    Abstract: An electrode assembly configured to provide a ground path for a plasma processing chamber of a plasma processing system is disclosed. The apparatus includes an electrode configured to be exposed to a plasma. The apparatus also includes a heater plate disposed above the electrode, wherein the heater plate is configured to heat the electrode. The apparatus further includes a cooling plate disposed above the heater plate, wherein the cooling plate is configured to cool the electrode. The apparatus also includes a plasma chamber lid configured to confine the plasma in the plasma chamber, wherein the plasma chamber lid includes a ground. The apparatus further includes a clamp ring configured to secure the electrode, the heater plate, and the cooling plate to the plasma chamber lid, the clamp ring is further configured to provide the ground path from the electrode to the chamber lid.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: June 29, 2010
    Assignee: Lam Research Corporation
    Inventors: Arnold Kholodenko, Anwar Husain
  • Patent number: 7736528
    Abstract: A tray 15 for a dry etching apparatus 1 has substrate accommodation holes 19A to 19D penetrating thickness direction and a substrate support portion 21 supporting an outer peripheral edge portion of a lower surface 2a of a substrate 2. A dielectric plate 23 has a tray support surface 28 supporting a lower surface of the tray 15, substrate placement portions 29A through 29D inserted from a lower surface side of the tray 15 into the substrate accommodation holes 19A through 19D and having a substrate placement surface 31 at its upper end surface for placing the substrate 2. A dc voltage applying mechanism 43 applies a dc voltage to an electrostatic attraction electrode 40. A heat conduction gas supply mechanism 45 supplies a heat conduction gas between the substrate 2 and substrate placement surface 31. The substrate 2 can be retained on the substrate placement surface 31 with high degree of adhesion.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: June 15, 2010
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Ryuzou Houchin, Hiroyuki Suzuki
  • Patent number: 7728252
    Abstract: An etching method and an etching system are adapted to produce a high etch selectivity for a mask, an excellent anisotropic profile and a large etching depth. An etching system according to the invention comprises a floating electrode arranged vis-à-vis a substrate electrode in a vacuum chamber and held in a floating state in terms of electric potential, a material arranged at the side of the floating electrode facing the substrate electrode to form an anti-etching film and a control unit for intermittently applying high frequency power to the floating electrode.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: June 1, 2010
    Assignee: ULVAC, Inc.
    Inventors: Yasuhiro Morikawa, Toshio Hayashi, Koukou Suu
  • Publication number: 20100126668
    Abstract: An apparatus includes an upper electrode and a lower electrode for supporting a wafer disposed opposite each other within a process chamber. A first RF power supply configured to apply a first RF power having a relatively higher frequency is connected to the upper electrode. A second RF power supply configured to apply a second RF power having a relatively lower frequency is connected to the lower electrode. A variable DC power supply is connected to the upper electrode. A process gas is supplied into the process chamber while any one of application voltage, application current, and application power from the variable DC power supply to the upper electrode is controlled, to generate plasma of the process gas so as to perform plasma etching.
    Type: Application
    Filed: January 29, 2010
    Publication date: May 27, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akira KOSHIISHI, Masaru Sugimoto, Kunihiko Hinata, Noriyuki Kobayashi, Chishio Koshimizu, Ryuji Ohtani, Kazuo Kibi, Masashi Saito, Naoki Matsumoto, Manabu Iwata, Daisuke Yano, Yohei Yamazawa
  • Patent number: 7722738
    Abstract: A semiconductor device manufacturing unit is provided, wherein a cathode and an anode can be placed in a simple structure; wherein excellent film deposition and film thickness distribution can be gained; and wherein no cooling devices are required to be provided. A chamber 11 is formed so that the inside thereof can be controlled at a vacuum of an arbitrary degree. Anode supports 6 for supporting an anode 4 are placed at the bottom of the internal structure 8. The anode 4 is made of a material having a high electrical conductivity and a high heat resistance. The temperature of the anode 4 is controlled by a heater 24 so as to be in a range of from room temperature to 600° C. A cathode 2 is placed on a cathode support 5 so as to face the anode 4. The cathode support 5 is attached to an internal structure 8 made of a frame in a rectangular prism form provided within the chamber 11.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: May 25, 2010
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Katsushi Kishimoto, Yusuke Fukuoka, Yasushi Fujioka, Hiroyuki Fukuda, Katsuhiko Nomoto
  • Publication number: 20100096087
    Abstract: Positional relationships are established in a process chamber. A base is configured with a lower electrode surface to support a wafer, and an upper electrode has a lower surface. A drive mounted on the base has a linkage connected to the upper electrode. A fixture placed on the lower surface moves into a desired orientation of the lower electrode. With the upper electrode loosely connected by the linkage to the drive, the fixture transfers the desired orientation to the upper electrode. The linkage is tightened to maintain the desired orientation, the fixture is removed and a process exclusion insert is mounted to the upper electrode. The drive moves the upper electrode and the insert to define an inactive process zone between the upper electrode and the wafer on the lower electrode to protect a central area of the wafer during etching of a wafer edge environ around the central area.
    Type: Application
    Filed: December 24, 2009
    Publication date: April 22, 2010
    Inventors: Gregory S. Sexton, Andrew D. Bailey, III, Alan M. Schoepp, John D. Boniface
  • Patent number: 7695590
    Abstract: A plasma reactor for processing a semiconductor workpiece includes a reactor chamber and a set of plural parallel ion shower grids that divide the chamber into an upper ion generation region and a lower reactor region, each of the ion shower grids having plural orifices in mutual registration from grid to grid, each orifice being oriented in a non-parallel direction relative to a surface plane of the respective ion shower grid. A workpiece support in the process region faces the lowermost one of the ion shower grids. A reactive species source furnishes into the ion generation region a chemical vapor deposition precursor species. The reactor further includes a vacuum pump coupled to the reactor region, a plasma source power applicator for generating a plasma in the ion generation region and a grid potential source coupled to the set of ion shower grids.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: April 13, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Hiroji Hanawa, Tsutomu Tanaka, Kenneth S. Collins, Amir Al-Bayati, Kartik Ramaswamy, Andrew Nguyen
  • Patent number: 7682483
    Abstract: A vacuum processing chamber and method of using a vacuum processing chamber are described and which includes a chamber defined by a chamber body, and wherein the chamber body defines an internal cavity; first and second electrodes are mounted in the internal cavity as defined by the chamber body; an RF generator is provided, and which produces single or multiple frequencies and which is electrically coupled to at least one of the first or second electrodes, and which are operable, when energized, to produce a plasma within the internal cavity of the chamber body; and an adjustable component borne by the chamber body, and which is fabricated, at least in part, from a dielectric material, and which selectively adjusts the equivalent dielectric constant which exists between the chamber body and the first electrode.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: March 23, 2010
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventor: Yaomin Xia
  • Patent number: 7678225
    Abstract: A focus ring for a plasma processing apparatus has an inner region, middle region, and outer region, disposed in this order from the inner side to surround a target substrate. On the side to be exposed to plasma, the surfaces of the inner region and outer region consist essentially of a dielectric, while the surface of the middle region consists essentially of a conductor. The middle region is arranged to shift the peak of plasma density to the outside of the peripheral edge of the target substrate. If there is no middle region, the peak of plasma density appears substantially directly above the peripheral edge of the target substrate.
    Type: Grant
    Filed: February 8, 2002
    Date of Patent: March 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takaaki Nezu, Katsumi Horiguchi, Daisuke Hayashi, Toshiya Tsukahara
  • Patent number: RE41266
    Abstract: An electrode assembly for a plasma reactor, such as a plasma etch or plasma-enhanced chemical vapor deposition reactor, comprises an electrode plate having a support frame attached to one surface thereof. The electrode plate is composed of a substantially pure material which is compatible with a particular reaction being performed in the reactor, while the support frame is composed of a material having desirable thermal, electrical, and structural characteristics. The support frame is bonded to the electrode plate using a bonding layer, usually a ductile metallic bonding layer, which provides effective thermal and electrical coupling while permitting a degree of thermal expansion mismatch between the support frame and the electrode plate.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: April 27, 2010
    Assignee: Lam Research Corporation
    Inventors: Raymond L. Degner, Eric H. Lenz