Silicon Containing Patents (Class 204/192.23)
  • Publication number: 20110177280
    Abstract: The information recording medium (1) of the present invention includes a recording film (114, 124). The information recording medium (1) allows information to be recorded and reproduced on and from the information recording medium by irradiation of the recording film (114, 124) with a laser beam (18). The information recording medium (1) of the present invention further includes a mixed dielectric film (the second dielectric film 116, 126) disposed on the laser beam (18) incident side with respect to the recording film (114, 124). The mixed dielectric film contains a mixed dielectric material consisting of Zn sulfide, Si oxide and an oxide X (where the oxide X is an oxide of at least one element selected from Ti, Nb, Ta and Ce).
    Type: Application
    Filed: July 26, 2010
    Publication date: July 21, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Akio Tsuchino, Takashi Nishihara, Rie Kojima, Noboru Yamada
  • Patent number: 7977255
    Abstract: A method for forming a thin-film transistor gate insulating layer over a substrate disposed in a processing chamber is provided. The method includes: introducing a processing gas for producing a plasma in the processing chamber; heating the substrate to a substrate processing temperature of between 50 and 350° C.; and depositing silicon oxide, silicon oxynitride, or silicon nitride over the heated substrate by sputtering a target assembly at a medium frequency.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Evelyn Scheer, Oliver Graw, Roland Weber, Udo Schreiber
  • Publication number: 20110156128
    Abstract: The present invention provides a manufacturing method of a dielectric film which reduces a leak current value while suppressing the reduction of a relative permittivity, suppresses the reduction of a deposition rate caused by the reduction of a sputtering rate, and also provides excellent planar uniformity. A dielectric film manufacturing method according to an embodiment of the present invention is forms a dielectric film of a metal oxide mainly containing Al, Si, and O on a substrate, and comprises steps of forming the metal oxide having an amorphous structure in which a molar fraction between an Al element and a Si element, Si/(Si+Al), is 0<Si/(Si+Al)?0.1, and subjecting the metal oxide having the amorphous structure to annealing treatment at a temperature of 1000° C. or more to form the metal oxide including a crystalline phase.
    Type: Application
    Filed: December 21, 2010
    Publication date: June 30, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Junko ONO, Naomu KITANO, Takashi NAKAGAWA
  • Patent number: 7887677
    Abstract: A silicon object formation target substrate is arranged in a first chamber, a silicon sputter target is arranged in a second chamber communicated with the first chamber, plasma for chemical sputtering is formed from a hydrogen gas in the second chamber, chemical sputtering is effected on the silicon sputter target with the plasma thus formed, producing particles contributing to formation of silicon object, whereby a silicon object is formed, on the substrate, from the particles moved from the second chamber to the first chamber.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: February 15, 2011
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Takashi Mikami, Atsushi Tomyo, Kenji Kato, Eiji Takahashi, Tsukasa Hayashi
  • Patent number: 7842168
    Abstract: The invention provides a method for producing a silicon oxide film, whereby a film having uniform optical constants such as refractive index, absorption coefficient, etc. can be formed continuously at a high deposition rate. A method for producing a silicon oxide film, which comprises depositing a silicon oxide film on a substrate by carrying out AC sputtering by using a sputtering target comprising silicon carbide and silicon with a ratio in number of atoms of C to Si being from 0.5 to 0.95, in an atmosphere containing an oxidizing gas, with an alternating current having a frequency of from 1 to 1,000 kHz.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: November 30, 2010
    Assignee: Asahi Glass Company, Limited
    Inventors: Toru Ikeda, Takahiro Mashimo, Eiji Shidoji, Toshihisa Kamiyama, Yoshihito Katayama
  • Patent number: 7837838
    Abstract: The present invention generally provides methods and apparatuses that are adapted to form a high quality dielectric gate layer on a substrate. Embodiments contemplate a method wherein a metal plasma treatment process is used in lieu of a standard nitridization process to form a high dielectric constant layer on a substrate. Embodiments further contemplate an apparatus adapted to “implant” metal ions of relatively low energy in order to reduce ion bombardment damage to the gate dielectric layer, such as a silicon dioxide layer and to avoid incorporation of the metal atoms into the underlying silicon. In general, the process includes the steps of forming a high-k dielectric and then terminating the surface of the deposited high-k material to form a good interface between the gate electrode and the high-k dielectric material.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Thai Cheng Chua, Alex M. Paterson, Steven Hung, Patricia M. Liu, Tatsuya Sato, Valentin Todorow, John P. Holland
  • Patent number: 7820018
    Abstract: A process and apparatus for applying an optical coating to a substrate, wherein a transition layer 12, which is used to match mechanical properties of a substrate 10 to mechanical properties of a layer system 16 to be applied upon the transition layer 12, is deposited on a front surface 20 of the substrate 10. For this purpose, during a sputtering process carried out in a vacuum chamber 18, reaction products 14 are incorporated at least virtually exclusively in the transition layer 12 on the substrate. This prevents other surfaces of the vacuum chamber 18 and the rear side 34 of the substrate 10 from being contaminated with the reaction products 14 and/or their precursors.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: October 26, 2010
    Assignee: Satisloh AG
    Inventor: Frank Breme
  • Patent number: 7820017
    Abstract: The invention relates to a substrate (1), especially a glass substrate, coated with at least one dielectric thin-film layer deposited by sputtering, especially magnetically enhanced sputtering and preferably reactive sputtering in the presence of oxygen and/or nitrogen, with exposure to at least one ion beam (3) coming from an ion source (4), characterized in that said dielectric layer exposed to the ion beam has a refractive index that can be adjusted according to the parameters of the ion source, said ion source being a linear source.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: October 26, 2010
    Assignee: Saint-Gobain Glass France
    Inventors: Carole Baubet, Klaus Fischer, Marcus Loergen, Jean Christophe Giron, Nicolas Nadaud, Eric Mattman, Jean Paul Rousseau, Alfred Hofrichter, Manfred Jansen
  • Publication number: 20100244192
    Abstract: The present invention provides a dielectric film having a high permittivity and a high heat resistance. An embodiment of the present invention is a dielectric film (103) including a composite oxynitride containing an element A made of Hf, an element B made of Al or Si, and N and O, wherein mole fractions of the element A, the element B, and N expressed as B/(A+B+N) range from 0.015 to 0.095 and N/(A+B+N) equals or exceeds 0.045, and has a crystalline structure.
    Type: Application
    Filed: April 14, 2010
    Publication date: September 30, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takashi Nakagawa, Naomu Kitano, Toru Tatsumi
  • Patent number: 7790060
    Abstract: Silicon oxide and electrically conductive doped silicon materials are sintered in a protective environment to yield a composite SiOx:Si material that exhibits the properties of SiOx, and yet is electrically conductive due to the presence of Si. Such a composite material finds many uses, such as a target for DC and/or AC sputtering processes to produce silicon oxide thin films for touch-screen applications, barrier thin films in LCD displays and optical thin films used in a wide variety of applications.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: September 7, 2010
    Assignee: Wintek Electro Optics Corporation
    Inventors: David E. Stevenson, Li Q. Zhou
  • Patent number: 7781327
    Abstract: Methods of resputtering material from the wafer surface include at least one operation of resputtering material under a pressure of at least 10 mTorr. The methods can be used in conjunction with an iPVD apparatus, such as hollow cathode magnetron (HCM) or planar magnetron. The resputtered material may be a diffusion barrier material or a conductive layer material. The methods provide process conditions which minimize the damage to the dielectric layer during resputtering. The methods allow considerable etching of the diffusion barrier material at the via bottom, while not damaging exposed dielectric elsewhere on the wafer. Specifically, they provide a solution for the dielectric microtrenching problem occurring during conventional resputter process. Furthermore, the methods increase the etch rate to deposition rate ratio (E/D) and improve the etch back nonuniformity (EBNU) of resputter process. In general, the methods provide IC devices with higher reliability and decrease wafer manufacturing costs.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: August 24, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Sridhar Kailasam, Robert Rozbicki, Chentao Yu, Douglas Hayden
  • Patent number: 7749406
    Abstract: Silicon oxide and electrically conductive doped silicon materials are joined in a protective environment to yield a composite SiOx:Si material that exhibits the properties of SiOx, and yet is electrically conductive due to the presence of the Si. Such a composite material finds use as a target for DC and/or AC sputtering processes to produce silicon oxide thin films for touch-screen applications, barrier thin films in LCD displays and optical thin films used in a wide variety of applications.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: July 6, 2010
    Inventors: David E. Stevenson, Li Q. Zhou
  • Patent number: 7674446
    Abstract: A hafnium silicide target is provided. The target is used for forming a gate oxide film composed of HfSi1.02-2.00. The target material is superior in workability and embrittlement resistance and is suitable for forming a HfSiO film and HfSiON film that may be used as a high dielectric gate insulation film in substitute for a SiO2 film. A method of manufacturing the above referenced hafnium silicide target is also provided.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: March 9, 2010
    Assignee: Nippon Mining & Metals Co., Ltd
    Inventors: Shuichi Irumata, Ryo Suzuki
  • Patent number: 7658822
    Abstract: Article are made from silicon oxide and electrically conductive doped silicon materials that are joined in a protective environment to yield a composite SiOx:Si material that exhibits the properties of SiOx and yet is electrically conductive due to the presence of the Si. Articles from such composite materials find many uses, such as for targets for DC and/or AC sputtering processes to produce silicon oxide thin films for touch-screen application, barrier thin films in LCD displays and optical thin films used in a wide variety of applications.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: February 9, 2010
    Assignee: Wintek Electro-Optics Corporation
    Inventors: David E. Stevenson, Li Q. Zhou
  • Patent number: 7641773
    Abstract: A method of producing substrates with functional layers which have high optical properties and/or a high surface smoothness, in particular a low turbidity and significantly lower roughness, is provided. The method includes a sputtering process for coating a substrate with at least one functional layer, the sputtering process being interrupted at least once by the application of an intermediate layer with a thickness of less than 20 nm.
    Type: Grant
    Filed: September 13, 2003
    Date of Patent: January 5, 2010
    Assignee: Schott AG
    Inventors: Christoph Moelle, Lars Bewig, Frank Koppe, Thomas Kuepper, Stefan Geisler, Stefan Bauer
  • Patent number: 7601246
    Abstract: Methods of depositing a protective coating of a silicon-containing or metallic material onto a semiconductor substrate include sputtering such material from an electrode onto a semiconductor substrate in a plasma processing chamber. The protective material can be deposited onto a multi-layer mask overlying a low-k material and/or onto the low-k material. The methods can be used in dual damascene processes to protect the mask and enhance etch selectivity, to protect the low-k material from carbon depletion during resist strip processes, and/or protect the low-k material from absorption of moisture.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: October 13, 2009
    Assignee: Lam Research Corporation
    Inventors: Jisoo Kim, Jong Shon, Biming Yen, Peter Loewenhardt
  • Patent number: 7585396
    Abstract: A coated article is provided that may be used as a vehicle windshield, insulating glass (IG) window unit, or the like. Ion beam treatment is performed on a layer(s) of the coating. For example, an overcoat layer (e.g., of silicon nitride) of a low-E coating may be ion beam treated in a manner so as to cause the ion beam treated layer to include (a) nitrogen-doped Si3N4, and/or (b) nitrogen graded silicon nitride. It has been found that this permits durability of the coated article to be improved.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: September 8, 2009
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Publication number: 20090178917
    Abstract: A multi-cathode ionized physical vapor deposition system includes a reactor in which a wafer holder is arranged at a bottom wall, and at least two angled cathodes opposite a wafer are arranged at a top wall, each of the cathodes is supplied with a RF current via a matching circuit, and a pressure control mechanism including gas inlets and a gas outlet. In the system, an inner pressure of the reactor is controlled to be relatively high pressure by the pressure control mechanism. Thus, the system can form better side-wall and bottom coverage in patterned holes or trenches on the wafer surface using the atoms sputtered on each of the angled multi-cathodes.
    Type: Application
    Filed: March 17, 2009
    Publication date: July 16, 2009
    Applicant: CANON ANELVA CORPORATION
    Inventors: Sunil Wickramanayaka, Naoki Watanabe
  • Patent number: 7550067
    Abstract: A coated article is provided that may be used as a vehicle windshield, insulating glass (IG) window unit, or the like. Ion beam treatment is performed on a layer(s) of the coating. For example, a silicon nitride layer of a low-E coating may be ion beam treated. It has been found that ion beam treatment, for example, of a silicon nitride underlayer is advantageous in that sodium migration from the glass substrate toward the IR reflecting layer(s) can be reduced during heat treatment.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: June 23, 2009
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Patent number: 7517515
    Abstract: The present invention relates to a hafnium silicide target for forming a gate oxide film composed of HfSi1.02-2.00. Obtained is a hafnium silicide target superior in workability and embrittlement resistance, and suitable for forming a HfSiO film and HfSiON film that may be used as a high dielectric gate insulation film in substitute for a SiO2 film, and to the manufacturing method thereof.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: April 14, 2009
    Assignee: Nippon Mining & Metals Co., Ltd.
    Inventors: Shuichi Irumata, Ryo Suzuki
  • Publication number: 20080271988
    Abstract: Diffusion of water or oxygen present in the dielectric protection film is restrained by eliminating free oxygen in an oxide thin film while maintaining the characteristics of a dielectric protection film. As the dielectric material for forming a dielectric protection film for an optical disc or the like, an oxide mixture thin film of a niobium oxide and one of a silicon oxide and a titanium oxide is used. In a preferable example, a target made of a niobium oxide as the main component with 1 to 30% by weight of a silicon oxide added is used for formation of an oxide thin film by sputtering. Moreover, the oxide thin film is formed preferably in a nitrogen atmosphere. A nitrogen containing oxide thin film is produced by carrying out sputtering using a target with the oxygen lacked and a minute amount of nitrogen added. Thereby, a thin film having little reducing function and a high barrier property while having the characteristics comparable to a complete oxide can be produced.
    Type: Application
    Filed: May 18, 2005
    Publication date: November 6, 2008
    Inventors: Yasuo Hosoda, Takanobu Higuchi, Takashi Ueno
  • Publication number: 20080245658
    Abstract: A compound metal comprising HfSiN which is a n-type metal having a workfunction of about 4.0 to about 4.5, preferably about 4.3, eV which is thermally stable on a gate stack comprising a high k dielectric and an interfacial layer. Furthermore, after annealing the stack of HfSiN/high k dielectric/ interfacial layer at a high temperature (on the order of about 1000° C.), there is a reduction of the interfacial layer, thus the gate stack produces a very small equivalent oxide thickness (12 ? classical), which cannot be achieved using TaSiN.
    Type: Application
    Filed: June 18, 2008
    Publication date: October 9, 2008
    Applicant: International Business Machines Corporation
    Inventors: Alessandro C. Callegari, Martin M. Frank, Rajarao Jammy, Dianne L. Lacey, Fenton R. McFeely, Sufi Zafar
  • Patent number: 7316867
    Abstract: A thin film for an anode of a lithium secondary battery having a current collector and an anode active material layer formed thereon is provided. The anode active material layer is a multi-layered thin film formed by stacking a silver (Ag) layer and a silicon-metal (Si-M) layer having silicon dispersed in a base made from metal reacting with silicon while not reacting with lithium. The cycle characteristic of the thin film for an anode can be improved by suppressing the volumetric expansion and shrinkage of Si occurring during charging/discharging cycles. Thus, a lithium secondary battery with improved life characteristics by employing the thin film for an anode, which greatly improves the chemical, mechanical stability of the interface between an electrode and an electrolyte.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: January 8, 2008
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Young-sin Park, Joo-yeal Oh, Hong-koo Baik, Sung-man Lee
  • Patent number: 7282121
    Abstract: There is disclosed a manufacturing method of a phase shift mask blank in which dispersions of phase angle and transmittance among blanks can be reduced as much as possible and yield is satisfactory. In the manufacturing method of the phase shift mask blank, a process of using a sputtering method to continuously form a thin film on a transparent substrate comprises: successively subjecting a plurality of substrates to a series of process of supplying the transparent substrate into a sputtering chamber, forming the thin film for forming a pattern in the sputtering chamber, and discharging the transparent substrate with the film formed thereon from the sputtering chamber; supplying and discharging the transparent substrate substantially at a constant interval; and setting a film formation time to be constant among a plurality of blanks.
    Type: Grant
    Filed: April 9, 2004
    Date of Patent: October 16, 2007
    Assignee: Hoya Corporation
    Inventors: Osamu Nozawa, Hideaki Mitsui
  • Patent number: 7273534
    Abstract: In an optical disk substrate film-formation apparatus which prepared an optical disk by forming a thin film on a substrate, the optical disk substrate is held by a holder section. A contact support surface is provided to the holder section which closely contacts at least a portion of the surface of the optical disk substrate rear to the surface where the think film is formed.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: September 25, 2007
    Assignee: Ricoh Company, Ltd.
    Inventors: Kazunori Ito, Katsunari Hanaoka, Hiroshi Deguchi, Nobuaki Onagi, Hiroko Tashiro, Kiyoto Shibata, Yasutomo Aman, Hiroshi Miura, Wataru Ohtani, Hajime Yuzurihara, Masaru Shinkai
  • Patent number: 7235160
    Abstract: The present invention provides an improved hollow cathode method for sputter coating a substrate. The method of the invention comprises providing a channel for gas to flow through, the channel defined by a channel defining surface wherein one or more portions of the channel-defining surface include at least one target material. Gas is flowed through the channel wherein at least a portion of the gas is a non-laminarly flowing gas. While the gas is flowing through the channel a plasma is generated causing target material to be sputtered off the channel-defining surface to form a gaseous mixture containing target atoms that is transported to the substrate. In an important application of the present invention, a method for forming oxide films and in particular zinc oxide films is provided.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: June 26, 2007
    Assignee: Energy Photovoltaics, Inc.
    Inventors: Alan E. Delahoy, Sheyu Guo
  • Patent number: 7135097
    Abstract: Disclosed is a box-shaped facing-targets sputtering apparatus capable of forming, at low temperature, a compound thin film of high quality while causing minimal damage to an underlying layer.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: November 14, 2006
    Assignee: FTS Corporation
    Inventors: Sadao Kadokura, Hisanao Anpuku
  • Patent number: 7118656
    Abstract: A method for fabricating a stent or other medical device by creating a free standing thin film of metal.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: October 10, 2006
    Assignee: Micro Therapeutics, Inc.
    Inventor: Noah M. Roth
  • Patent number: 7052552
    Abstract: A method and apparatus are disclosed for depositing a dielectric film in a gap having an aspect ratio at least as large as 6:1. By cycling the gas chemistry of a high-density-plasma chemical-vapor-deposition system between deposition and etching conditions, the gap may be substantially 100% filled. Such filling is achieved by adjusting the flow rates of the precursor gases such that the deposition to sputtering ratio during the deposition phases is within certain predetermined limits.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: May 30, 2006
    Assignee: Applied Materials
    Inventors: Michael Kwan, Eric Liu
  • Patent number: 7037595
    Abstract: A thin layer of hafnium oxide or stacking of thin layers comprising hafnium oxide layers for producing surface treatments of optical components, or optical components, in which at least one layer of hafnium oxide is in amorphous form and has a density less than 8 gm/cm3. The layer is formed by depositing on a substrate without energy input to the substrate.
    Type: Grant
    Filed: November 15, 1999
    Date of Patent: May 2, 2006
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Bernard Andre, Jean Dijon, Brigitte Rafin
  • Patent number: 7008519
    Abstract: The present invention provides an ITO sputtering target for forming a high-resistance transparent conductive film which target can be used virtually in a DC magnetron sputtering apparatus and can form a high-resistance, transparent film, and a method for producing a high-resistance transparent conductive film. The sputtering target for forming a high-resistance transparent conductive film having a resistivity of about (0.8–10)×10?3 ?cm contains indium oxide, an insulating oxide, and optionally tin oxide.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: March 7, 2006
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Seiichiro Takahashi, Makoto Ikeda, Hiroshi Watanabe
  • Patent number: 6986834
    Abstract: Provided is a hafnium silicide target for forming a gate oxide film composed of HfSi0.82-0.98, wherein the oxygen content is 500 to 10000 ppm. Manufactured is a hafnium silicide target for forming a gate oxide film, wherein powder of the composition composed of HfSi0.82-0.98 is synthesized, pulverized to be 100 mesh or less, and thereafter subject to hot pressing or hot isostatic pressing (HIP) at 1700° C. to 2120° C. and 150 to 2000 kgf/cm2. Thereby obtained is a hafnium silicide target, and the manufacturing method thereof, suitable for forming a HfSiO film and HfSiON film that may be used as a high dielectric gate insulation film, superior in embrittlement resistance, having a low generation of particles, and which is not likely to cause ignition of sintering powder or explosion of powder dust during the manufacturing process thereof.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: January 17, 2006
    Assignee: Nikko Materials Co., Ltd.
    Inventors: Shuichi Irumata, Ryo Suzuki
  • Patent number: 6958112
    Abstract: Methods and systems are provided for depositing silicon oxide in a gap on a substrate. The silicon oxide is formed by flowing a process gas into a process chamber and forming a plasma having an overall ion density of at least 1011 ions/cm3. The process gas includes H2, a silicon source, and an oxidizing gas reactant, and deposition into the gap is achieved using a process that has simultaneous deposition and sputtering components. The probability of forming a void is reduced by ensuring that the plasma has a greater density of ions having a single oxygen atom than a density of ions having more than one oxygen atom.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: October 25, 2005
    Assignee: Applied Materials, Inc.
    Inventors: M. Ziaul Karim, Farhad K. Moghadam, Siamak Salimian
  • Patent number: 6939446
    Abstract: A glass article which has a water-sheeting coating and a method of applying coatings to opposed sides of a substrate are described. In one embodiment, a water-sheeting coating 20 comprising silica is sputtered directly onto an exterior surface of the glass. The exterior face of this water-sheeting coating is substantially non-porous but has an irregular surface. This water-sheeting coating causes water applied to the coated surface to sheet, making the glass article easier to clean and helping the glass stay clean longer. In one method of the invention, interior and exterior surfaces of a glass sheet are cleaned. Thereafter, the interior surface of the sheet of glass is coated with a reflective coating by sputtering, in sequence, at least one dielectric layer, at least one metal layer, and at least one dielectric layer. The exterior surface of the glass is coated with a water-sheeting coating by sputtering silica directly onto the exterior surface of the sheet of glass.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: September 6, 2005
    Assignee: Cardinal CG Company
    Inventors: Annette J. Krisko, Klaus Hartig, Roger D. O'Shaughnessy
  • Patent number: 6921465
    Abstract: A method for making a dry plating built-up film comprises providing silicon carbide as a starting source and subjecting to dry plating while changing a concentration of a reactive gas continuously or intermittently to deposit and form, on a substrate, a thin film having different refractive indices along its thickness. A method for making a sputter built-up film is also described, which comprising providing silicon carbide as a target and subjecting to sputtering while changing making electric power against the target continuously or intermittently to deposit and form a thin film having different refractive indices along its thickness.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: July 26, 2005
    Assignee: Bridgestone Corporation
    Inventors: Masato Yoshikawa, Shingo Ohno, Sho Kumagai
  • Patent number: 6919101
    Abstract: A method for improving the adhesion of an impermeable film on a porous low-k dielectric film in an interconnect structure is disclosed. The method provides an in-situ annealing step before the deposition of the impermeable film to release the volatile trapped molecules such as water, alcohol, HCl, and HF vapor, inside the pores of the porous low-k dielectric film. The method also provides an in-situ deposition step of the impermeable film right after the deposition of the porous low dielectric film without exposure to an atmosphere containing trappable molecules. The method further provides an in-situ deposition step of the impermeable film right after the removal a portion of the porous low-k dielectric film without exposure to an atmosphere containing trappable molecules. By the removal of all trapped molecules inside the porous low-k dielectric film, the adhesion between the deposited impermeable film and the low-k dielectric film is improved.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: July 19, 2005
    Assignee: Tegal Corporation
    Inventors: Zhihong Zhang, Tai Dung Nguyen, Tue Nguyen
  • Patent number: 6893543
    Abstract: A method and apparatus for producing an information carrier which has at least two solid material interfaces at which information is, or may be applied and where the information is stored by local modulation of at least one characteristic of the solid material. Reflection of electromagnetic radiation at the interface depends on this characteristic. The method and apparatus applies at least one intermediate layer between the two solid material interfaces. The intermediate layer transmits the radiation and is at least predominantly made of either SixCy or SivNw, or both.
    Type: Grant
    Filed: July 28, 1999
    Date of Patent: May 17, 2005
    Assignee: Unaxis Balzers AG
    Inventor: Eduard Kügler
  • Patent number: 6878243
    Abstract: A method and apparatus for producing an optically effective system of layers on a substrate, such as a lens for use in an optical device. A plasma supported sputter deposition process is employed which, for the purpose of reducing damage to the rear side (1b) first applies a protective layer (2) to the rear side and then applies a system of layers (3) on the front side (1a) of the substrate (1). The apparatus includes an evacuable sputter chamber and a substrate holder (5) with receiving elements (6) for the substrates, and the receiving elements are mounted to permit rotation about two mutually perpendicular axes.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: April 12, 2005
    Assignee: Satis Vacuum Industries Vertriebs AG
    Inventor: Frank Breme
  • Patent number: 6852203
    Abstract: A three-dimensional periodical structure whose period is about 1 ?m or smaller is provided. At least two kinds of films which have two-dimensionally substantially periodical projections are successively formed in layers substantially periodical to construct structure which is substantially three-dimensionally periodical. For instance, the films are made of materials different in refractive index. The three-dimensional periodical structure whose period is about 1 ?m or smaller can be obtained by a simple fabricating method. By this structure, the propagation of a wave with a specific wavelength in many solid angular directions including several axial directions parallel to the plane and the thickness direction of the layers can be cut off.
    Type: Grant
    Filed: March 24, 1998
    Date of Patent: February 8, 2005
    Assignee: Autocloning Technology, LTD
    Inventors: Shojiro Kawakami, Hiroyuki Sakaki, Kazuo Shiraishi
  • Patent number: 6824654
    Abstract: A cube used to perform optical functions in a system, such as beam splitting or polarizing, or both, is manufactured by optically contacting a coated prism with an uncoated prism. The coated prism includes a dielectric stack having alternating layers of high and low index of refraction materials. To ensure secure optical contacting between the coated prism and uncoated prism, low interface reflection, and good throughput, a contacting layer is deposited on the dielectric stack. The contacting layer can be fused silica or SiO2, which has natural compatibility with the CaF2 materials that make up the uncoated prism and the coating layers.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: November 30, 2004
    Assignee: ASML Holding N.V.
    Inventors: Samad M. Edlou, David H. Peterson
  • Patent number: 6821562
    Abstract: In accordance with the present invention, an insulating sealing structure useful in physical vapor deposition apparatus is provided. The insulating sealing structure is capable of functioning under high vacuum and high temperature conditions. The apparatus is a three dimensional structure having a specifically defined range of electrical, chemical, mechanical and thermal properties enabling the structure to function adequately as an insulator which does not break down at voltages ranging between about 1,500 V and about 3,000 V, which provides a seal against a vacuum of at least about 10−6 Torr, and which can function at a continuous operating temperature of about 300° F. (148.9° C.) or greater.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: November 23, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Richard Ernest Demaray, Manuel J. Herrera, David F. Eline, Chandra Deshpandey
  • Patent number: 6811826
    Abstract: The coated multilayer structure comprising a polymeric base layer, a zero valent material barrier layer, and a top coat on the zero valent material barrier layer, the top coat comprising a soluble compound capable of reducing the permeability of the multilayer structure to gas or vapor. The zero valent material barrier layer can also enhance barrier to UV light. A method for enhancing the gas or vapor barrier properties or the UV light barrier properties of a multilayer polymeric/inorganic structure is also disclosed. According to one embodiment, Si coated polyethylene terephthalate containers are coated with a gas or vapor barrier enhancing top coat. A method for recycling containers coated with a zero valent material barrier layer is also disclosed.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: November 2, 2004
    Assignee: The Coca-Cola Company
    Inventors: Mark Rule, Yu Shi, Horst Ehrich
  • Patent number: 6808753
    Abstract: The coated multilayer structure comprising a polymeric base layer, an inorganic oxide gas barrier layer on a surface of the polymeric base layer, and a top coat on the inorganic oxide gas barrier layer, the top coat comprising a soluble compound capable of reducing the permeability of the multilayer structure to gas or vapor. A method for enhancing the gas or vapor barrier properties of a multilayer polymeric/inorganic oxide structure is also disclosed. According to one embodiment, SiOx coated polyethylene terephthalate containers are coated with a gas or vapor barrier enhancing top coat.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: October 26, 2004
    Assignee: The Coca-Cola Company
    Inventors: Mark Rule, Yu Shi, Thomas Gebele, Helmut Grimm, Elisabeth Budke
  • Patent number: 6802944
    Abstract: A method of depositing a film on a substrate. In one embodiment, the method includes depositing a first portion of the film using a high density plasma to partially fill a gap formed between adjacent features formed on the substrate. The film deposition process is then stopped before or shortly after the entry of the gap pinches off and the film is etched to widen entry to the gap using a two step etching process that includes a first physical etch step that forms a plasma from a sputtering agent introduced into the processing chamber and biases the plasma towards the substrate and a subsequent chemical etch step that forms a plasma from a reactive etchant gas introduced into the processing chamber. After the etching sequence is complete, a second portion of the film is deposited over the first portion using a high density plasma to further fill the gap.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: October 12, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Farhan Ahmad, Michael Awdshiew, Alok Jain, Bikram Kapoor
  • Patent number: 6800179
    Abstract: A heat treatable coated article includes an oxidation graded layer designed so as to include an at least partially oxided anti-migration or barrier layer(s) portion provided between a solar management layer portion (e.g., NiCr layer portion) and a dielectric layer (e.g., silicon nitride). In certain example embodiments, the anti-migration or barrier layer(s) portion may include a metal oxide, and function(s) to prevent element(s) from migrating between the solar management layer and the dielectric layer upon heat treatment (HT) of the coated article. As a result, the coated article has improved color stability (and thus a lower &Dgr;E* value) upon HT. In certain example embodiments, a single target may be used to sputter the graded layer including the anti-migration layer portions and the more metallic central portion. Coated articles herein may be used in the context of insulating glass (IG) window units, other architectural windows, vehicle windows, or the like.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: October 5, 2004
    Assignee: Guardian Industries Corp.
    Inventor: Hong Wang
  • Patent number: 6793781
    Abstract: Silicon-chromium cathode targets having 5 to 80 weight percent chromium are used to sputter absorbing coatings of silicon-chromium-containing material in atmospheres of inert gas such as argon, reactive gases such as nitrogen, oxygen, and mixtures thereof to form metallic films and films of nitrides, oxides, and oxynitrides of metals. Chromium in the cathode target in the range of 5 to 80 weight percent provides target stability and enhanced sputtering rates over targets of silicon alone and are comparable to the target stability and sputtering rates of silicon-nickel targets, Chromium in the target may be replaced in part with nickel, preferably in the range of 5 to 15 weight percent, to produce coatings of silicon-chromium-nickel and the oxides, nitrides and oxynitrides thereof.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: September 21, 2004
    Assignee: PPG Industries Ohio, Inc.
    Inventor: James J. Finley
  • Patent number: 6783634
    Abstract: There is disclosed a manufacturing method of a phase shift mask blank in which dispersions of phase angle and transmittance among blanks can be reduced as much as possible and yield is satisfactory. In the manufacturing method of the phase shift mask blank, a process of using a sputtering method to continuously form a thin film on a transparent substrate comprises: successively subjecting a plurality of substrates to a series of process of supplying the transparent substrate into a sputtering chamber, forming the thin film for forming a pattern in the sputtering chamber, and discharging the transparent substrate with the film formed thereon from the sputtering chamber; supplying and discharging the transparent substrate substantially at a constant interval; and setting a film formation time to be constant among a plurality of blanks.
    Type: Grant
    Filed: September 12, 2001
    Date of Patent: August 31, 2004
    Assignee: Hoya Corporation
    Inventors: Osamu Nozawa, Hideaki Mitsui
  • Patent number: 6776882
    Abstract: Method for producing hybrid disks has a first substrate that is transparent in a given spectral band. A layer system that is semi-transparent in the given band succeeds the first substrate and is followed by a further substrate that is transparent in the given band. Next, is a reflection layer system which is in the semi-transparent layer system and is deposited by a vacuum coating method of identical type. The first substrate is covered by a moisture protection layer system that is transparent in the given spectral band and has at least one layer deposited by a vacuum coating method of identical type.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: August 17, 2004
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Martin Dubs
  • Patent number: 6764579
    Abstract: A substrate is coated with a solar management coating system including at least one infrared (IR) reflective layer. A diamond-like carbon (DLC) inclusive protective coating system (e.g., including at least one highly tetrahedral amorphous carbon (ta-C) inclusive layer having sp3 carbon—carbon bonds) is provided on the substrate over at least the IR reflective layer in order to make the coating system scratch resistant, abrasion resistant, and generally mechanically durable. The DLC inclusive protective coating system may be hydrophobic, hydrophillic, or neutral in different embodiments of the invention. Optionally, at least one fluoro-alkyl silane (FAS) compound inclusive layer may be provided on the substrate over at least one of the DLC inclusive layer(s) in hydrophobic embodiments in order to increase contact angle &thgr; of the coated article.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: July 20, 2004
    Assignee: Guardian Industries Corp.
    Inventors: Vijayen S. Veerasamy, Rudolph Hugo Petrmichl, Scott V. Thomsen
  • Patent number: 6764580
    Abstract: A multi-layer antistatic/antireflective coating having high electrical conductivity (103 ohms) and low reflectivity (0.7%) is applied to the outer surface of a video display screen by sputtering. The multi-layer coating includes an inner antistatic layer deposited directly on the video display screen and comprised of ITO, TiO2, etc., having a light refractive index in the range of 1.8-2.2 and a thickness in the range of 18-35 nm. The outer antireflective layer is comprised of SiO2, MgO, etc., having a light refractive index in the range of 1.3-1.47 and a thickness in the range of 110-140 nm. The multi-layer coating is applied using a sputtering apparatus having a dual vacuum chamber, a diffusion pump connected to one of the chambers, and plural vacuum pumps connected to the diffusion pump and to the dual vacuum chamber with various gauges and valves for monitoring and controlling the sputtering operation.
    Type: Grant
    Filed: November 15, 2001
    Date of Patent: July 20, 2004
    Assignee: Chungwa Picture Tubes, Ltd.
    Inventor: Yueh-Ming Teng