Silicon Containing Patents (Class 204/192.23)
  • Patent number: 6762121
    Abstract: A method of ensuring against deterioration of an underlying silicide layer over which a refractory material layer is deposited by physical vapor deposition (PVD) or chemical vapor deposition (CVD) is realized by first providing a continuous polysilicon layer prior to the refractory material deposition. The continuous polysilicon layer, preferably no thicker than 50 Å, serves a sacrificial purpose and prevents interaction between any fluorine that is released during the refractory material deposition step from interacting with the underlying silicide.
    Type: Grant
    Filed: April 4, 2001
    Date of Patent: July 13, 2004
    Assignee: International Business Machines Corporation
    Inventors: Jonathan D. Chapple-Sokol, Randy W. Mann, William J. Murphy, Jed H. Rankin, Daniel S. Vanslette
  • Patent number: 6746960
    Abstract: Techniques are used to detect and identify analytes. Techniques are used to fabricate and manufacture sensors to detect analytes. An analyte (1810) is sensed by sensors (1820) that output electrical signals in response to the analyte. The electrical signals are preprocessed (1830) by filtering and amplification. In an embodiment, this preprocessing includes adapting the sensor and electronics to the environment in which the analyte exists. The electrical signals are further processed (1840) to classify and identify the analyte, which may be by a neural network.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: June 8, 2004
    Assignee: California Institute of Technology
    Inventor: Rodney M. Goodman
  • Publication number: 20040099525
    Abstract: A method of forming an oxide thin film includes introducing a work function reducing agent onto a surface of a sputter target facing into a substrate in a process chamber, providing an oxygen gas and an inert gas into the process chamber, ionizing the oxygen gas and the inert gas, thereby generating a plurality of electrons, disintegrating a plurality of negatively charged ions from the sputter target, and forming the oxide thin film on the substrate from the negatively charged ions reacted with the ionized oxygen gas.
    Type: Application
    Filed: November 21, 2002
    Publication date: May 27, 2004
    Applicant: Plasmion Corporation
    Inventors: Namwoong Paik, Minho Sohn, Steven Kim
  • Patent number: 6726812
    Abstract: An ion beam sputtering apparatus comprising: a first means for generating an ion beam and directing said ion beam in a prescribed direction, a second means for supporting a target at a position where said target is capable of exposing said ion beam irradiated in said prescribed direction and of being sputtered by said ion beam, a third means for supporting an electrically conductive substrate having a semiconductor layer on which a component sputtered from said target is to be deposited, and a fourth means for making said electrically conductive substrate have a non-earth potential. A method for forming a transparent and electrically conductive film on an electrically conductive substrate having a semiconductor layer, which is based on said ion beam sputtering apparatus. A process for producing a semiconductor device by forming a transparent and electrically conductive film on a semiconductor layer for said semiconductor device, which is based on said ion beam sputtering apparatus.
    Type: Grant
    Filed: March 4, 1998
    Date of Patent: April 27, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Noboru Toyama
  • Patent number: 6666958
    Abstract: A method for making a dry plating built-up film comprises providing silicon carbide as a starting source and subjecting to dry plating while changing a concentration of a reactive gas continuously or intermittently to deposit and form, on a substrate, a thin film having different refractive indices along its thickness. A method for making a sputter built-up film is also described, which comprising providing silicon carbide as a target and subjecting to sputtering while changing making electric power against the target continuously or intermittently to deposit and form a thin film having different refractive indices along its thickness.
    Type: Grant
    Filed: September 28, 1999
    Date of Patent: December 23, 2003
    Assignee: Bridgestone Corporation
    Inventors: Masato Yoshikawa, Shingo Ohno, Sho Kumagai
  • Publication number: 20030228431
    Abstract: A glass article which has a water-sheeting coating and a method of applying coatings to opposed sides of a substrate are described. In one embodiment, a water-sheeting coating 20 comprising silica is sputtered directly onto an exterior surface of the glass. The exterior face of this water-sheeting coating is substantially non-porous but has an irregular surface. This water-sheeting coating causes water applied to the coated surface to sheet, making the glass article easier to clean and helping the glass stay clean longer. In one method of the invention, interior and exterior surfaces of a glass sheet are cleaned. Thereafter, the interior surface of the sheet of glass is coated with a reflective coating by sputtering, in sequence, at least one dielectric layer, at least one metal layer, and at least one dielectric layer. The exterior surface of the glass is coated with a water-sheeting coating by sputtering silica directly onto the exterior surface of the sheet of glass.
    Type: Application
    Filed: June 17, 2003
    Publication date: December 11, 2003
    Inventors: Annette Krisko, Klaus Hartig, Roger D. O'Shaughnessy
  • Patent number: 6652974
    Abstract: A transparent, scratch-resistant, amorphous, easily washed coating on a transparent substrate, together with a method for its preparation. A substrate such as glass is introduced into a sputtering chamber, a silicon-containing target is sputtered in an atmosphere comprising nitrogen and a hydrocarbon gas to produce on the substrate a film containing a carbide of silicon and a nitride of silicon. The film preferably has a thickness in the range of about 30 Å to about 500 Å, and its surface preferably exhibits a contact angle with water below about 25°. The protective coating may be applied directly to a transparent substrate such as glass, or may be applied as a protective coating over an optical film stack carried by the substrate. If desired, a glass substrate may be coated on one side with the optical film stack and coated on the opposite side with the protective coating.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: November 25, 2003
    Assignee: Cardinal IG Company
    Inventor: Annette J. Krisko
  • Patent number: 6649033
    Abstract: The method for producing an electrode for a lithium secondary battery, having an active material in the form of a thin film composed of an interface layer formed on a current collector and an active material layer formed on the interface layer. The method comprises the steps of: depositing the interface layer on the current collector by sputtering; and depositing the active material layer on the interface layer by vapor evaporation.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: November 18, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hiromasa Yagi, Hisaki Tarui
  • Patent number: 6649032
    Abstract: A method has been provided for forming a polycrystalline silicon (p-Si) film with a small amount of hydrogen. Such a film has been found to have excellent sheet resistance, and it is useful in the fabrication of liquid crystal display (LCD) panels made from thin film transistors (TFTs). The low hydrogen content polycrystalline silicon films are made from introducing a small amount of hydrogen gas, with Ar, during the sputter deposition of an amorphous silicon film. The hydrogen content in the film is regulated by controlling the deposition temperatures and the volume of hydrogen in the gas feed during the sputter deposition. The polycrystalline silicon film results from annealing the low hydrogen content amorphous silicon film thus formed.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: November 18, 2003
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Apostolos Voutsas
  • Publication number: 20030173207
    Abstract: A biased pulse DC reactor for sputtering of oxide films is presented. The biased pulse actor couples pulsed DC at a particular frequency to the target through a filter which filters effects of a bias power applied to the substrate, protecting the pulsed DC power supply. deposited utilizing the reactor have controllable material properties such as the index of ion. Optical components such as waveguide amplifiers and multiplexers can be fabricated processes performed on a reactor according to the present inention.
    Type: Application
    Filed: March 16, 2002
    Publication date: September 18, 2003
    Applicant: Symmorphix, Inc.
    Inventors: Hongmei Zhang, Mukundan Narasimhan, Ravi B. Mullapudi, Richard E. Demaray
  • Publication number: 20030162033
    Abstract: A photocatalytically-assisted self-cleaning (“PASC”) coating on a substrate enables the substrate to shed dirt simply by rinsing with water. A method of making a PASC coating includes depositing by chemical vapor deposition an alkali metal diffusion barrier layer on a substrate; sputtering an ultraviolet radiation activated layer on the alkali metal diffusion barrier layer at a temperature of 100° C. or less; and, without heating above 100° C., exposing the ultraviolet radiation activated layer to ultraviolet radiation to form the self-cleaning substrate. The sputter deposition of the ultraviolet radiation activated layer on the chemical vapor deposited alkali metal diffusion barrier layer allows the ultraviolet radiation activated layer to be activated upon exposure to UV radiation without first having been heated during and/or after deposition.
    Type: Application
    Filed: February 22, 2002
    Publication date: August 28, 2003
    Applicant: AFG INDUSTRIES, INC.
    Inventors: Herb Johnson, Mark Ford
  • Publication number: 20030155229
    Abstract: The present invention relates to a hafnium silicide target for forming a gate oxide film composed of HfSi0.05-0.37. Obtained is a hafnium silicide target superior in workability and embrittlement resistance, and suitable for forming a HfSiO film and HfSiON film that may be used as a high dielectric gate insulation film in substitute for a SiO2 film, and the manufacturing method thereof.
    Type: Application
    Filed: February 19, 2003
    Publication date: August 21, 2003
    Inventors: Shuichi Irumata, Ryo Suzuki
  • Publication number: 20030127319
    Abstract: Physical vapor deposition processes provide optical materials with controlled and uniform refractive index that meet the requirements for active and passive planar optical devices. All processes use radio frequency (RF) sputtering with a wide area target, larger in area than the substrate on which material is deposited, and uniform plasma conditions which provide uniform target erosion. In addition, a second RF frequency can be applied to the sputtering target and RF power can be applied to the substrate producing substrate bias. Multiple approaches for controlling refractive index are provided. The present RF sputtering methods for material deposition and refractive index control are combined with processes commonly used in semiconductor fabrication to produce planar optical devices such surface ridge devices, buried ridge devices and buried trench devices. A method for forming composite wide area targets from multiple tiles is also provided.
    Type: Application
    Filed: November 4, 2002
    Publication date: July 10, 2003
    Inventors: Richard E. Demaray, Kai-An Wang, Ravi B. Mullapudi, Douglas P. Stadtler, Hongmei Zhang, Rajiv Pethe
  • Patent number: 6582809
    Abstract: A glazing assembly is provided made of at least one transparent substrate having a stack thereon that includes an alternation of n functional layers and n−1 coatings, wherein the functional layers have reflection properties in the infrared and/or solar radiation and where n≧1 and where, in order to maintain the quality of the stack when the substrate is subjected to a heat treatment step, at least one of the following must be satisfied: the coating placed on top of at least one of the functional layers includes at least one barrier layer providing a barrier to at least oxygen and water; and at least one absorbent or stabilizing layer made of a material capable of absorbing or stabilizing the consituent material of the functional layer forms a part of either the coating placed on top of the functional layer and under the barrier layer or the coating placed beneath the functional layer; and a method for production of the glazing assembly.
    Type: Grant
    Filed: August 29, 2001
    Date of Patent: June 24, 2003
    Assignee: Saint-Gobain Glass France
    Inventors: Philippe Boire, Fabrice Didier, Jean-Michel Grimal
  • Patent number: 6579425
    Abstract: A system and method are provided to sequentially deposit a silicon dioxide base coat barrier layer adjacent a thin silicon film, to minimize the formation of water and —OH radicals. Both the base coat and thin silicon films are sputter to eliminate hydrogen chemistries. Further, the sputter processes are conducted sequentially, without breaking the vacuum seat to minimize the absorption of water in the base coat layer that conventionally occurs between deposition steps. This process eliminates the total number of process steps required, as there is no longer a need for furnace annealing the base coat before the deposition of the thin silicon film, and no longer a need for a dehydrogenation annealing step after the deposition of the thin silicon film.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: June 17, 2003
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Apostolos Voutsas, Yukihiko Nakata
  • Patent number: 6579423
    Abstract: A light transmitting electromagnetic wave filter comprising a transparent and a light transmitting electromagnetic wave shield film having a laminate structure composed of 2n+1 (n≧1) layers in which at least two dielectric layers and at least one silver layer are alternately laminated, with each other with the dielectric layer being the first to be provided on the transparent substrate, wherein at least one of the dielectric layers is an antistatic metal oxide layer having a refractive index of 1.6 to 2.7 at a wavelength of 550 nm, which is preferably formed by sputtering using a metal oxide, e.g., tin-containing indium oxide, as a target in an atmosphere having a reduced oxygen content. The electromagnetic wave filter has a high visible light transmission and a low near infrared transmission, is free from pin holes or contamination, and exhibits high durability against moist heat, etc.
    Type: Grant
    Filed: December 11, 2001
    Date of Patent: June 17, 2003
    Assignee: Nippon Sheet Glass Co., Ltd.
    Inventors: Toshiaki Anzaki, Etsuo Ogino
  • Patent number: 6562207
    Abstract: Sputter target, method of manufacture of same and sputter coating process using the target as a sputtering source are disclosed. The sputter target comprises an Me/Si multi-phase, consolidated blend wherein the Si component is present in a very small amount of about trace—0.99 mole Si:1 mole Me. Preferably, Me comprises one or more of Ta, Ti, Mo, or W. The targets are made from the requisite powders via HIP consolidation to provide densities of greater than 98 % of the theoretical density. The targets are especially useful in reactive cathodic sputtering systems employing N2 as the reactive gas to form amorphous Me/Si/N layers.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: May 13, 2003
    Assignee: Tosoh SMD, Inc.
    Inventor: Eugene Y. Ivanov
  • Publication number: 20030059623
    Abstract: A substrate carrying a temporary protective cover and related methods of producing and processing substrates are described. In one embodiment, a substrate has a durable exterior surface bearing a temporary protective cover that protects the durable surface against contamination but that can readily be readily removed from the durable surface by washing with a given washing fluid.
    Type: Application
    Filed: June 17, 2002
    Publication date: March 27, 2003
    Inventors: Roger D O'Shaughnessy, Annette J Krisko, Klaus Hartig
  • Patent number: 6537428
    Abstract: A method and apparatus for monitoring and controlling reactive sputter deposition, particularly useful for depositing insulating compounds (e.g., metal-oxides, metal-nitrides, etc.). For a given nominal cathode power level, target material, and source gases, the power supplied to the cathode (target) is controlled to stabilize the cathode (target) voltage at a specified value or within a specified range corresponding to a partial pressure or relative flow rate value or range of the reactive gas. Such an operating point or range, characterized by a specified voltage value or range and corresponding reactive gas relative-flow/partial-pressure value or range, may be determined empirically based on measuring the cathode voltage as a function of reactive gas relative-flow/partial-pressure for the given nominal power.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: March 25, 2003
    Assignee: Veeco Instruments, Inc.
    Inventors: Wei Xiong, Subhadra Gupta
  • Patent number: 6527919
    Abstract: A method for fabricating a stent or other medical device by creating a free standing thin film of metal.
    Type: Grant
    Filed: July 28, 2000
    Date of Patent: March 4, 2003
    Assignee: Micro Therapeutics, Inc.
    Inventor: Noah M. Roth
  • Patent number: 6528442
    Abstract: To provide an optically transparent film containing 0.01 to 20% by weight glass forming oxide consisting of Nb2O5, V2O5, B2O3, SiO2, and P2O6; 0.01 to 20% by weight Al2O3 or Ga2O3; and 0.01 to 5% by weight hard oxide of ZrO2 and TiO2 as required; balance being ZnO, and a sputtering target for forming such a film. This sputtering target reduces occurrence of particles during sputtering, decreases the number of interruption or discontinuance of sputtering to improve production efficiency, and forms a protective film for optical disks with large transmittance and low reflectance.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: March 4, 2003
    Assignee: Nikko Materials Company, Limited
    Inventors: Katsuo Kuwano, Hideo Takami
  • Publication number: 20030036277
    Abstract: A gate insulating layer, an amorphous silicon layer, a doped amorphous silicon layer and a Cr layer are sequentially deposited on a substrate on which a gate wire is formed. Next, the Cr layer is patterned to form a data line, a source electrode and a drain electrode. The doped amorphous silicon layer and the amorphous silicon layer are patterned at the same time, and the doped amorphous silicon layer is etched by using the data line, the source electrode and the drain electrode as etch stopper. Subsequently, a passivation layer is deposited and patterned to form a contact hole. An ITO layer is deposited and patterned to form a pixel electrode. According to the present invention, an oxide layer is prevented by performing a sequential deposition of the four layers in a vacuum state. As a result, the on current of the TFT is increased, and HF cleaning is not necessary because no oxide layer is formed. Therefore, the overall TFT manufacturing process is simplified.
    Type: Application
    Filed: October 17, 2002
    Publication date: February 20, 2003
    Inventors: Jong-Hwan Cha, Geun-Ha Jang, Dae-Sung Yi
  • Patent number: 6511584
    Abstract: A sputtering electrode is switched between two power values at a constant reactive gas flow rate which is selected so that the target of the sputtering electrode is in the metallic mode at the first power value while in the oxide mode at a second power value.
    Type: Grant
    Filed: March 7, 1997
    Date of Patent: January 28, 2003
    Assignee: Unaxis Deutschland Holding GmbH
    Inventors: Joachim Szczyrbowski, Götz Teschner, Anton Zmelty, Jürgen Bruch, Dietmar Marquardt
  • Publication number: 20030010624
    Abstract: A system and method are provided to sequentially deposit a silicon dioxide base coat barrier layer adjacent a thin silicon films, to minimize the formation of water and —OH radicals. Both the base coat and thin silicon films are sputter deposited to eliminate hydrogen chemistries. Further, the sputter processes are conducted sequentially, with breaking the vacuum seal, to minimize the absorption of water in the base coat layer that conventionally occurs between deposition steps. This process eliminates the total number of process steps required, as there is no longer a need for furnace annealing the base coat before the deposition of the thin silicon film, and no longer a need for a dehydrogenation annealing step after the deposition of the thin silicon film.
    Type: Application
    Filed: July 16, 2001
    Publication date: January 16, 2003
    Inventors: Apostolos Voutsas, Yukihiko Nakata
  • Patent number: 6506289
    Abstract: Physical vapor deposition processes provide optical materials with controlled and uniform refractive index that meet the requirements for active and passive planar optical devices. All processes use radio frequency (RF) sputtering with a wide area target, larger in area than the substrate on which material is deposited, and uniform plasma conditions which provide uniform target erosion. In addition, a second RF frequency can be applied to the sputtering target and RF power can be applied to the substrate producing substrate bias. Multiple approaches for controlling refractive index are provided. The present RF sputtering methods for material deposition and refractive index control are combined with processes commonly used in semiconductor fabrication to produce planar optical devices such surface ridge devices, buried ridge devices and buried trench devices. A method for forming composite wide area targets from multiple tiles is also provided.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: January 14, 2003
    Assignee: Symmorphix, Inc.
    Inventors: Richard E. Demaray, Kai-An Wang, Ravi B. Mullapudi, Douglas P. Stadtler, Hongmei Zhang, Rajiv Pethe
  • Publication number: 20020185381
    Abstract: A method for manufacturing improved cast anodes for corrosion protection in storage tanks calls for integrating a plurality of spaced steel core rods into a sacrificial galvanic anode material sheet. The sheet is divided into segments such that a width of each segment is four to eight times the thickness of the galvanic sheet.
    Type: Application
    Filed: May 22, 2002
    Publication date: December 12, 2002
    Inventor: James B. Bushman
  • Patent number: 6491799
    Abstract: The method disclosed herein comprises initially providing a tool comprised of a process chamber, a lid above the process chamber, an RF coil for assisting in generating a plasma in the chamber, a substrate support, and a power supply coupled to the substrate support. The method continues with the step of positioning a substrate in the tool adjacent the substrate support, introducing a noble gas into the chamber, and forming a layer of material above the substrate by sputtering the lid material by performing at least the following steps: applying approximately 200-300 watts of power to the RF coil at a frequency of approximately 400 KHz and applying approximately 20-60 watts of power to the substrate at a frequency of approximately 13.56 MHz.
    Type: Grant
    Filed: January 22, 2001
    Date of Patent: December 10, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frederick N. Hause, Karsten Wieczorek, Manfred Horstmann
  • Publication number: 20020171122
    Abstract: A method has been provided for forming a polycrystalline silicon (p-Si) film with a small amount of hydrogen. Such a film has been found to have excellent sheet resistance, and it is useful in the fabrication of liquid crystal display (LCD) panels made from thin film transistors (TFTs). The low hydrogen content polycrystalline silicon films are made from introducing a small amount of hydrogen gas, with Ar, during the sputter deposition of an amorphous silicon film. The hydrogen content in the film is regulated by controlling the deposition temperatures and the volume of hydrogen in the gas feed during the sputter deposition. The polycrystalline silicon film results from annealing the low hydrogen content amorphous silicon film thus formed.
    Type: Application
    Filed: May 21, 2001
    Publication date: November 21, 2002
    Inventor: Apostolos Voutsas
  • Patent number: 6471832
    Abstract: A method of producing a wear-resistant protective film for a thermal head comprises depositing a wear-resistant protective film by sputtering on a thermal head which includes a substrate, and a heat-developing layer and a pair of electrodes formed on either the substrate or a heat-regenerative layer formed thereon. A layer of the wear resistant protective film is formed under a RF larger bias and another layer without a bias or with a smaller bias. Good step coverage is obtained by the RF sputter layer of the wear-resistant and the protective film prevents the intrusion of water that can cause cracking, and the layer formed under no or smaller bias reduces internal stresses and inhibits the development of cracks due to internal stresses as well as the cracking by RF sputtering.
    Type: Grant
    Filed: May 2, 1996
    Date of Patent: October 29, 2002
    Assignee: TDK Corporation
    Inventors: Masatoshi Nakayama, Masahiro Nakano, Tsukimi Endo
  • Patent number: 6468403
    Abstract: A method for producing a transparent conductive film composed mainly of an oxide by sputtering using a sputtering target capable of forming a transparent conductive film, wherein intermittent electric power is supplied to the target.
    Type: Grant
    Filed: July 28, 1994
    Date of Patent: October 22, 2002
    Assignee: Asahi Glass Company Ltd.
    Inventors: Junichi Shimizu, Shujiro Watanabe, Satoru Takaki, Hisashi Osaki, Takuji Oyama, Eiichi Ando
  • Patent number: 6468405
    Abstract: A sputtering target assembly for depositing onto a selected substrate area comprises a target/cathode having a planar sputtering surface including an erosion track area, a collimating shield positioned proximate to the sputtering surface, surrounding at least a portion of the erosion track area, and including an inwardly facing wall, and a blocking shield centrally positioned over the surface of the target/cathode and including an outwardly facing wall, wherein the inwardly facing wall of the collimating shield and the outwardly facing wall of the central blocking shield form an open-ended channel for directing sputtered particles onto the selected substrate area. A method for utilizing the target assembly for selectively depositing a thicker protective overcoat layer on the inner CSS or landing zone relative to the outer data zone of disk-shaped recording media is provided.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: October 22, 2002
    Assignee: Seagate Technology LLC
    Inventors: Shanghsien Rou, Alexander Boris Khazanov, Jie-Ming Yu, Paul Stephen McLeod, Mark Anthony Shows, Kuo-Hsing Hwang, Chung-Yuang Shih
  • Publication number: 20020134671
    Abstract: A specialized physical vapor deposition process provides dense amorphous semiconducting material with exceptionally smooth morphology. In particular, the process provides dense, smooth amorphous silicon useful as a hard mask for etching optical and semiconductor devices and as a high refractive index material in optical devices. DC sputtering of a planar target of intrinsic crystalline semiconducting material in the presence of a sputtering gas under a condition of uniform target erosion is used to deposit amorphous semiconducting material on a substrate. DC power that is modulated by AC power is applied to the target. The process provides dense, smooth amorphous silicon at high deposition rates. A method of patterning a material layer including forming a hard mask layer of amorphous silicon on a material layer according to the present DC sputtering process is also provided.
    Type: Application
    Filed: January 19, 2001
    Publication date: September 26, 2002
    Inventors: Richard E. Demaray, Jesse Shan, Kai-An Wang, Ravi B. Mullapudi
  • Publication number: 20020125130
    Abstract: Silicon—chromium cathode targets comprising 5 to 80 weight percent chromium are disclosed for sputtering absorbing coatings of silicon—chromium-containing material in atmospheres comprising inert gas, reactive gases such as nitrogen, oxygen, and mixtures thereof which may further comprise inert gas, such as argon, to form nitrides, oxides, and oxynitrides as well as metallic films. The presence of chromium in the cathode target in the range of 5 to 80 weight percent provides target stability and enhanced sputtering rates over targets of silicon alone, comparable to the target stability and sputtering rates of silicon—nickel, not only when sputtering in oxygen to produce an oxide coating, but also when sputtering in inert gas, nitrogen or a mixture of nitrogen and oxygen to produce coatings of silicon—chromium, silicon—chromium nitride or silicon—chromium oxynitride respectively.
    Type: Application
    Filed: February 15, 2002
    Publication date: September 12, 2002
    Inventor: James J. Finley
  • Patent number: 6440592
    Abstract: A thermochromic coating comprises a vanadium oxide layer 16 which comprises tungsten and fluorine (FIG. 1).
    Type: Grant
    Filed: February 1, 2001
    Date of Patent: August 27, 2002
    Assignee: Bruno K. Meyer
    Inventors: Bruno K. Meyer, Dirk Schalch, Thomas Christmann
  • Patent number: 6425987
    Abstract: A new technique is provided using only one coating material (pure silicon) to deposit thin films in a high vacuum, and using an ion source with a working gas (or gases) to control the refractive index of the thin film. This new technique can deposit different kinds of optical thin films with different refractive indices or gradient-indices and make different kinds of multilayer interference filters without opening the vacuum chamber during the process. The way of evaporation or sputtering of the coating material or target uses “electron beam evaporation”, “DC or RF magnetron sputtering”, or “ion beam sputtering”, etc. The way of controlling the refractive index of the film involves mixing Ar with O2 and N2 or just the mixture of N2 or O2, and feeding the gases into the ion source, as shown in FIG. 1 and FIG. 2. The amount of gas and the way of feeding are controlled by computer software.
    Type: Grant
    Filed: May 30, 2000
    Date of Patent: July 30, 2002
    Assignee: National Science Council
    Inventors: Cheng-Chung Lee, Jin-Cherng Hsu
  • Patent number: 6423196
    Abstract: A method for making a nickel/silicon sputter target, targets made thereby and sputtering processes using such targets. The method includes the step of blending molten nickel with sufficient molten silicon so that the blend may be cast to form an alloy containing no less than 4.5 wt .% silicon. Preferably, the cast ingot is then shaped by rolling it to form a plate having a desired thickness. Sputter targets so formed are capable of use in a conventional magnetron sputter process; that is, one can be positioned near a cathode in the presence of an electric potential difference and a magnetic field so as to induce sputtering of nickel ion form the sputter target onto the substrate.
    Type: Grant
    Filed: March 24, 2000
    Date of Patent: July 23, 2002
    Assignee: Tosoh SMD, Inc.
    Inventor: Eugene Y. Ivanvov
  • Patent number: 6423191
    Abstract: Sputtering method and apparatus for depositing a coating onto substrate employs variable magnetic field arranged in vicinity of a cathode within a working chamber, filled with ionizable fluid. By controlling a magnetic field topology, i.e. orientation and value of magnetic strength with respect to cathode there is enabled localization and shifting of plasma away from substrate and by thus improvement of adhesion and properties of deposited coatings.
    Type: Grant
    Filed: February 14, 1995
    Date of Patent: July 23, 2002
    Assignee: Thin Films, Ltd.
    Inventors: Boris Sorokov, Ilya Khanukov
  • Publication number: 20020088706
    Abstract: A method of making a polycrystalline structure film includes causing metallic atoms and molecules of compound to deposit over the surface of a substrate. When the metallic atoms and the molecules of the compound are simultaneously deposited on the substrate, fine and smallest metallic nucleation sites can be formed over the surface of the substrate at a higher density per unit area. Subsequent deposition of metallic atoms enables generation of fine and smallest crystal grains consisting of the metallic atoms.
    Type: Application
    Filed: January 28, 2002
    Publication date: July 11, 2002
    Applicant: FUJITSU LIMITED
    Inventor: Ryoichi Mukai
  • Patent number: 6413386
    Abstract: Within a method for forming a metal-silicon layer there is first provided a reactor chamber. There is then positioned within the reactor chamber a substrate spaced from a metal source target. There is also provided within the reactor chamber a minimum of a sputter material and a reactive silicon material. There is then sputtered the metal source target positioned within the reactor chamber with the sputter material provided within the reactor chamber in the presence of the reactive silicon material provided within the reactor chamber to form a metal-silicon layer over the substrate. The method is particularly useful for forming metal silicate layers, metal silicon nitride layers and metal silicon oxynitride layers within microelectronic fabrications. An alternative method employs: (1) a silicon source target rather than a metal source target; and (2) a reactive metal material rather than a reactive silicon material.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: July 2, 2002
    Assignee: International Business Machines Corporation
    Inventors: Alessandro Cesare Callegari, Eduard Albert Cartier, Michael Abramovich Gribelyuk, Harald Franz Okorn-Schmidt, Theodore Harold Zabel
  • Publication number: 20020070106
    Abstract: A process is provided for sputter-induced precipitation of metal oxide layers on substrates by means of a reactive sputter process. The plasma charge acting upon the target to be evaporated is provided with electric power selected such that the metal oxide layers precipitated on the substrates to be coated are deposited at a precipitation rate of ≧4 nm/s. During the coating process the substrate to be coated is arranged stationary in relation to the target material to be evaporated. The electrodes are connected in a conductive manner to the outputs of an alternating current source whereby the alternating frequency of the alternating current provided for the electrical supply of the plasma discharge is selected between 10 kHz and 80 kHz. Particularly preferred is that the precipitated oxide layer is a TiO2 layer or an SiO2 layer.
    Type: Application
    Filed: February 5, 2002
    Publication date: June 13, 2002
    Inventors: Joachim Szczyrbowski, Gunter Brauer
  • Publication number: 20020072010
    Abstract: In a method of manufacturing a dielectric layer of ZnS—SiO2 for use in a phase change type optical disk, a target, which is sintered with mixture of ZnS and SiO2, is prepared. The dielectric layer is deposited by the use of a sputtering method in mixed atmosphere of argon gas, oxygen gas, and hydrogen gas. The deposition is carried out such that formation of dangling bonds is suppressed.
    Type: Application
    Filed: March 10, 2000
    Publication date: June 13, 2002
    Inventor: Masayuki Kubogata
  • Patent number: 6391395
    Abstract: The present invention is directed to a method of forming a polysilicon layer. A light shield layer having a super-resolution near-field structure is arranged on an amorphous silicon layer. The super-resolution near-field structure includes a first dielectric layer, a second dielectric layer, and an active layer between the first dielectric layer and the second dielectric layer. The light shield layer is irradiated by a laser beam having a first intensity to generate a transmitted laser beam having a second intensity. The second intensity is greater than the first intensity. An annealing process is performed to irradiate the amorphous silicon layer with the transmitted laser beam having a second intensity thereby converting the amorphous silicon layer into a polysilicon layer.
    Type: Grant
    Filed: October 23, 2000
    Date of Patent: May 21, 2002
    Assignee: Ritek Corporation
    Inventors: Tzu-Feng Tseng, Yi-Ming Chen, Wen-Rei Guo
  • Patent number: 6368470
    Abstract: A multi-layer antireflection coating is formed to include successive layers of a medium refractive index material, a high refractive index material, and a low refractive index material. The medium refractive index layer is hydrogenated in order to tailor the refractive index of the material to below 1.99 and to increase clarity in transmission. Preferably, the hydrogen atomic concentration is at least 7 percent, more preferably exceeds 9 percent, and most preferably exceeds 18 percent. The three layers combine to form a three-layer antireflection coating, but the hydrogenation may be used in antireflection coatings having a greater or lesser number of layers.
    Type: Grant
    Filed: December 29, 1999
    Date of Patent: April 9, 2002
    Assignee: Southwall Technologies, Inc.
    Inventor: Floyd E. Woodard
  • Patent number: 6365014
    Abstract: Silicon-chromium cathode targets comprising 5 to 80 weight percent chromium are disclosed for sputtering absorbing coatings of silicon-chromium alloy in atmospheres comprising inert gas, reactive gases such as nitrogen, oxygen, and mixtures thereof which may further comprise inert gas, such as argon, to form nitrides, oxides, and oxynitrides as well as metallic films. The presence of chromium in the cathode target provides target stability and enhanced sputtering rates over targets of silicon alone, comparable to the target stability and sputtering rates of silicon-nickel, for sputtering in oxygen, inert gas, nitrogen or a mixture of nitrogen and oxygen. The chromium in the target may be replaced in part with nickel to produce coatings of silicon-chromium-nickel and the oxides, nitrides and oxynitrides thereof.
    Type: Grant
    Filed: September 8, 1997
    Date of Patent: April 2, 2002
    Assignee: PPG Industries Ohio, Inc.
    Inventor: James J. Finley
  • Publication number: 20020031674
    Abstract: Low-E glass coatings having improved durability and transmissivity. In particularly preferred forms, the present invention is embodied in surface-coated glass articles which include a glass substrate and a multiple layer coating on a surface of the glass substrate, wherein the coating is comprised of a layer of a transparent dielectric material adjacent the surface of the glass substrate, a layer of nickel or nichrome, and a layer of silicon oxynitride interposed between said layer of dielectric material and said layer of nickel or nichrome. The thickness of the silicon oxynitride layer is most preferably between about 25-200 Å.
    Type: Application
    Filed: February 27, 2001
    Publication date: March 14, 2002
    Inventor: Ronald E. Laird
  • Patent number: 6346176
    Abstract: A method of building up an oxidized metal coating on a substrate in which the substrate is positioned adjacent a metal target in a chamber containing a low pressure mixture of inert and reactive gases; and a voltage having AC and DC components is applied to the target to sputter onto the substrate a thin layer of elemental metal and oxidized metal. The substrate is then moved to an oxidizing station in the chamber and the layer is subjected to reactive ions, as for example a beam of reactive ions, which oxidizes the elemental metal in the layer. The steps are repeated until an oxidized metal coating of a desired thickness has been built up.
    Type: Grant
    Filed: January 27, 1995
    Date of Patent: February 12, 2002
    Assignee: Gentex Optics, Inc.
    Inventor: Thomas J. Hughes
  • Patent number: 6346177
    Abstract: A method of in-situ cleaning and deposition of device structures in a high density plasma environment. A device structure is located in a reaction chamber containing a sputter target. A high density plasma containing ionized gas particles is generated. The ionized gas particles are accelerated toward the device structure during a cleaning phase. The cleaning phase may be divided into a first cleaning phase during which no power is applied to the sputter target and a second cleaning phase during which power is supplied to the sputter target at a level sufficient to remove at least a portion of by-products deposited on the sputter target during the first cleaning phase.
    Type: Grant
    Filed: January 12, 2001
    Date of Patent: February 12, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Shane P. Leiphart
  • Publication number: 20020008018
    Abstract: The invention provides a process for forming an optical composite film that has a desired refractive index and is easy in design of the film. The material of an inorganic optical film is deposited on a base through reactive ion plating in an atmosphere where organic substance gases containing a fluorinated hydrocarbon are introduced, thus to form an organic composite film having a refractive index different from the intrinsic refractive index of the material of an inorganic optical film.
    Type: Application
    Filed: February 12, 2001
    Publication date: January 24, 2002
    Inventors: Yoichi Murayama, Kunihiro Kashiwagi
  • Patent number: 6335124
    Abstract: The method of manufacturing a halftone phase shift mask blank enables the accurate and easy control over the composition of an MSi semitransparent film that makes it easy to obtain an MSi semitransparent film having a desired specific component, the formation of film with ease at a good reproducibility and the reduction of defects in the layer.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: January 1, 2002
    Assignee: Hoya Corporation
    Inventors: Hideaki Mitsui, Osamu Nozawa, Megumi Takeuchi
  • Patent number: 6334938
    Abstract: A sputtering target comprising a substrate and a target material formed on the substrate, wherein the target material comprises a metal oxide of the chemical formula MOx as the main component, wherein MOx is a metal oxide which is deficient in oxygen as compared with the stoichiometric composition, and M is at least one metal selected from the group consisting of Ti, Nb, Ta, Mo, W, Zr and Hf, a process for its production, and a method for forming a film having a high refractive index.
    Type: Grant
    Filed: December 5, 2000
    Date of Patent: January 1, 2002
    Assignee: Asahi Glass Company, Ltd.
    Inventors: Otojiro Kida, Akira Mitsui, Eri Suzuki, Hisashi Osaki, Atsushi Hayashi, Takuji Oyama, Kenichi Sasaki