By Optical Means Or Of An Optical Property Patents (Class 216/60)
  • Patent number: 11971365
    Abstract: A wafer processing system and a rework method thereof are provided. An image capture device captures an image of a wafer to generate a captured image. A control device detects a defect pattern in the captured image, calculates a target removal thickness according to distribution of contrast values of the defect pattern, and controls a processing device to perform processing on the wafer according to the target removal thickness.
    Type: Grant
    Filed: January 6, 2022
    Date of Patent: April 30, 2024
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Shang-Chi Wang, Cheng-Jui Yang, Miao-Pei Chen, Han-Zong Wu
  • Patent number: 11965798
    Abstract: An endpoint detection system for enhanced spectral data collection is provided. An optical bundle is coupled to a light source configured to generate incident light. The optical bundle includes two or more sets of optical fibers that each include an emitting optical fiber and a receiving optical fiber. The receiving optical fibers are disposed within the optical bundle at a pairing angle relative to a respective emitting optical fiber. The optical bundle is also coupled to a collimator assembly that includes an achromatic lens. The achromatic lens receives a first light beam of incident light from a first emitting optical fiber and directs spectral components of the first light beam to a first and second portion of a surface of a substrate. The first portion of the substrate surface is substantially the same as the second portion. The achromatic lens collects reflected spectral components that are produced by the spectral components directed to the first and second portions of the substrate surface.
    Type: Grant
    Filed: June 10, 2021
    Date of Patent: April 23, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Pengyu Han, Lei Lian
  • Patent number: 11862438
    Abstract: In a plasma processing apparatus, a mounting table includes a heater for adjusting a temperature of a mounting surface mounting thereon a consumable part consumed by plasma processing. A heater control unit controls a supply power to the heater such that the heater reaches a setting temperature. A measurement unit measures, while controlling the supply power to the heater such that the temperature of the heater becomes constant, the supply powers in a non-ignition state where plasma is not ignited and in a transient state where the supply power is decreased after the plasma is ignited. A parameter calculation unit calculates a thickness of the consumable part by performing fitting with a calculation model, which has the thickness of the consumable part as a parameter and calculates the supply power in the transient state, by using the measured supply powers in the non-ignition state and in the transient state.
    Type: Grant
    Filed: October 3, 2022
    Date of Patent: January 2, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinsuke Oka
  • Patent number: 11860107
    Abstract: A device and a method of detecting a concentration of a sample are provided. The device includes a power supply unit configured to supply power to generate plasma, a plasma generation unit connected to the power supply unit and including a pair of electrodes facing each other, a plurality of signal detection units arranged on the pair of electrodes and configured to sense light emitted from the sample because of the plasma, and a controller configured to control a driving start point of the signal detection unit so that the signal detection unit is driven after a preset period of time after oscillation is terminated between the pair of electrodes.
    Type: Grant
    Filed: May 20, 2020
    Date of Patent: January 2, 2024
    Assignee: SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION
    Inventors: Jai Ick Yoh, Jun Ho Yang, Jae Hun Jung
  • Patent number: 11854775
    Abstract: The disclosure relates to microwave cavity plasma reactor (MCPR) apparatus and associated optical measurement system that enable microwave plasma assisted chemical vapor deposition (MPACVD) of a component such as diamond while measuring the local surface properties of the component while being grown. Related methods include deposition of the component, measurement of the local surface properties, and/or alteration of operating conditions during deposition in response to the local surface properties. As described in more detail below, the MPCR apparatus includes one or more electrically conductive, optically transparent regions forming part of the external boundary of its microwave chamber, thus permitting external optical interrogation of internal reactor conditions during deposition while providing a desired electrical microwave chamber to maintain selected microwave excitation modes therein.
    Type: Grant
    Filed: January 16, 2020
    Date of Patent: December 26, 2023
    Assignee: BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY
    Inventors: Timothy A. Grotjohn, Jes Asmussen
  • Patent number: 11823865
    Abstract: A plasma generation apparatus includes a housing fitted in a portion of an upper surface of a process chamber of a deposition apparatus and having a protruding portion having an elongated shape in a plan view and protruding upward from a bottom surface, a coil wound around a side surface of the protruding portion and having an elongated shape in the plan view, and an inclination adjustment mechanism configured to independently move upward and downward both ends in a longitudinal direction of the coil to change an inclination of the coil in the longitudinal direction.
    Type: Grant
    Filed: April 5, 2022
    Date of Patent: November 21, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Hitoshi Kato
  • Patent number: 11784047
    Abstract: Thin tin oxide films can be used in semiconductor device manufacturing. In one implementation, a method of processing a semiconductor substrate includes: providing a semiconductor substrate having a plurality of protruding features residing on an etch stop layer material, and an exposed tin oxide layer in contact with both the protruding features and the etch stop layer material, where the tin oxide layer covers both sidewalls and horizontal surfaces of the protruding features; and then completely removing the tin oxide layer from horizontal surfaces of the semiconductor substrate without completely removing the tin oxide layer residing at the sidewalls of the protruding features. Next, the protruding features can be removed without completely removing the tin oxide layer that resided at the sidewalls of the protruding features, thereby forming tin oxide spacers.
    Type: Grant
    Filed: April 22, 2021
    Date of Patent: October 10, 2023
    Assignee: Lam Research Corporation
    Inventors: David Charles Smith, Richard Wise, Arpan Pravin Mahorowala, Patrick A. van Cleemput, Bart J. van Schravendijk
  • Patent number: 11728131
    Abstract: Apparatuses, systems, and methods for providing beams for controlling charges on a sample surface of charged particle beam system. In some embodiments, a module comprising a laser source configured to emit a beam. The beam may illuminate an area adjacent to a pixel on a wafer to indirectly heat the pixel to mitigate a cause of a direct photon-induced effect at the pixel. An electron beam tool configured to detect a defect in the pixel, wherein the defect is induced by the indirect heating of the pixel.
    Type: Grant
    Filed: December 16, 2021
    Date of Patent: August 15, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Ning Ye, Jun Jiang, Jian Zhang, Yixiang Wang
  • Patent number: 11710670
    Abstract: A white light illumination source can illuminate a region of a substrate to be plasma etched with an incident light beam. A camera takes successive images of the region being illuminated during a plasma etch process. Image processing techniques can be applied to the images so as to identify a location of at least one feature on the substrate and to measure a reflectivity signal at the location. The plasma etch process can be modified in response to the measured reflectivity signal at the location.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: July 25, 2023
    Assignee: SPTS Technologies Limited
    Inventors: Oliver Ansell, Harry Gordon-Moys
  • Patent number: 11682576
    Abstract: Substrate supports comprising a top plate positioned on a shaft are described. The top plate including a primary heating element a first depth from the surface of the top plate, a inner zone heating element a second depth from the surface of the top plate and an outer zone heating element a third depth from the surface of the top plate. Substrate support assemblies comprising a plurality of substrate supports and methods of processing a substrate are also disclosed.
    Type: Grant
    Filed: June 21, 2022
    Date of Patent: June 20, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tejas Ulavi, Sanjeev Baluja, Dhritiman Subha Kashyap
  • Patent number: 11676802
    Abstract: Embodiments disclosed herein include a substrate support having a sensor assembly, and processing chamber having the same. In one embodiment, a substrate support has a puck. The puck has a workpiece support surface and a gas hole exiting the workpiece support surface. A sensor assembly is disposed in the gas hole and configured to detect a metric indicative of a deflection of a workpiece disposed on the workpiece support surface, wherein the sensor assembly is configured to provide the benefit of allowing gas to flow past the sensor assembly when positioned in the gas hole.
    Type: Grant
    Filed: November 11, 2020
    Date of Patent: June 13, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Wendell Glenn Boyd, Jr., Govinda Raj, Matthew James Busche
  • Patent number: 11668602
    Abstract: An apparatus includes a base component and collimators housed within the base component. The collimators correspond to collection cylinders for sampling optical emission spectroscopy (OES) signals with respect to locations of a wafer in an etch chamber. The apparatus further includes a guide, operatively coupled to the plurality of collimators, to guide the sampling of the OES signals along paths for sampling the OES signals.
    Type: Grant
    Filed: April 20, 2021
    Date of Patent: June 6, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Blake Erickson, Keith Berding, Michael Kutney, Zhaozhao Zhu, Tsung Feng Wu, Michael D. Willwerth, Jeffrey Ludwig
  • Patent number: 11613812
    Abstract: A method of processing a substrate according to a PECVD process is described. Temperature profile of the substrate is adjusted to change deposition rate profile across the substrate. Plasma density profile is adjusted to change deposition rate profile across the substrate. Chamber surfaces exposed to the plasma are heated to improve plasma density uniformity and reduce formation of low quality deposits on chamber surfaces. In situ metrology may be used to monitor progress of a deposition process and trigger control actions involving substrate temperature profile, plasma density profile, pressure, temperature, and flow of reactants.
    Type: Grant
    Filed: September 3, 2020
    Date of Patent: March 28, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Nagarajan Rajagopalan, Xinhai Han, Michael Wenyoung Tsiang, Masaki Ogata, Zhijun Jiang, Juan Carlos Rocha-Alvarez, Thomas Nowak, Jianhua Zhou, Ramprakash Sankarakrishnan, Amit Kumar Bansal, Jeongmin Lee, Todd Egan, Edward Budiarto, Dmitriy Panasyuk, Terrance Y. Lee, Jian J. Chen, Mohamad A. Ayoub, Heung Lak Park, Patrick Reilly, Shahid Shaikh, Bok Hoen Kim, Sergey Starik, Ganesh Balasubramanian
  • Patent number: 11609183
    Abstract: Implementations disclosed describe an optical inspection device comprising a source of light to direct a light beam to a location on a surface of a wafer, the wafer being transported from a processing chamber, wherein the light beam is to generate, a reflected light, an optical sensor to collect a first data representative of a direction of the first reflected light, collect a second data representative of a plurality of values characterizing intensity of the reflected light at a corresponding one of a plurality of wavelengths, and a processing device, in communication with the optical sensor, to determine, using the first data, a position of the surface of the wafer; retrieve calibration data, and determine, using the position of the surface of the wafer, the second data, and the calibration data, a characteristic representative of a quality of the wafer.
    Type: Grant
    Filed: August 18, 2020
    Date of Patent: March 21, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Todd J. Egan, Avishek Ghosh, Edward W. Budiarto, Guoheng Zhao
  • Patent number: 11569135
    Abstract: To provide a wavelength selection method or a plasma processing method to achieve accurate detection of residual thickness or etching amount, there is provided a plasma processing method, in which a processing object wafer is disposed within a processing chamber in the inside of a vacuum container, and plasma is generated by supplying a processing gas into the processing chamber and used to process a processing-object film layer beforehand formed on a surface of the wafer, and at least two wavelengths are selected from among wavelengths with large mutual information in emission of a plurality of wavelengths of plasma generated during processing of the processing-object film layer, and a temporal change in the emission of at least the two wavelengths is detected, and an endpoint of the processing of the film layer is determined based on a result of the detection.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: January 31, 2023
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Yohei Kawaguchi, Tatehito Usui, Shigeru Nakamoto
  • Patent number: 11538724
    Abstract: A processing method of a workpiece used when the workpiece is processed is provided. The processing method of a workpiece includes a disposing step of disposing the workpiece in a gas containing a substance that generates an active species that reacts with the workpiece, a measurement step of measuring the distribution of the thickness of the workpiece disposed in the gas, and a laser beam irradiation step of irradiating the workpiece in the gas with a laser beam of which the power is adjusted based on the distribution of the thickness measured in the measurement step. In the laser beam irradiation step, the removal amount by which a region irradiated with the laser beam in the workpiece is removed by the active species is controlled by irradiating the workpiece with the laser beam of which the power is adjusted.
    Type: Grant
    Filed: October 8, 2020
    Date of Patent: December 27, 2022
    Assignee: DISCO CORPORATION
    Inventor: Keiji Nomaru
  • Patent number: 11538722
    Abstract: Disclosed are embodiments of an improved apparatus and system, and associated methods for optically diagnosing a semiconductor manufacturing process. A hyperspectral imaging system is used to acquire spectrally-resolved images of emissions from the plasma, in a plasma processing system. Acquired hyperspectral images may be used to determine the chemical composition of the plasma and the plasma process endpoint. Alternatively, a hyperspectral imaging system is used to acquire spectrally-resolved images of a substrate before, during, or after processing, to determine properties of the substrate or layers and features formed on the substrate, including whether a process endpoint has been reached; or before or after processing, for inspecting the substrate condition.
    Type: Grant
    Filed: May 21, 2020
    Date of Patent: December 27, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Yan Chen, Xinkang Tian
  • Patent number: 11513194
    Abstract: A ranging apparatus for use in a plasma processing chamber having an internal space and a window is disclosed. The ranging apparatus includes at least one external light emitting device disposed external to the plasma processing chamber. The external light emitting device emits at least one source light beam to the internal space through the window. The ranging apparatus includes a base wafer disposed on a stage in the internal space. The ranging apparatus includes at least one optical circuit fixed to the base wafer. The optical circuit deflects the source light beam to a target in the internal space, and deflects a reflection light beam to the window. The ranging apparatus includes at least one external light receiving device disposed external to the plasma processing chamber. The external light receiving device receives the deflected reflection light beam through the window.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: November 29, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kippei Sugita, Kenji Nagai
  • Patent number: 11488808
    Abstract: In a plasma processing apparatus, a mounting table includes a heater for adjusting a temperature of a mounting surface mounting thereon a consumable part consumed by plasma processing. A heater control unit controls a supply power to the heater such that the heater reaches a setting temperature. A measurement unit measures, while controlling the supply power to the heater such that the temperature of the heater becomes constant, the supply powers in a non-ignition state where plasma is not ignited and in a transient state where the supply power is decreased after the plasma is ignited. A parameter calculation unit calculates a thickness of the consumable part by performing fitting with a calculation model, which has the thickness of the consumable part as a parameter and calculates the supply power in the transient state, by using the measured supply powers in the non-ignition state and in the transient state.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: November 1, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinsuke Oka
  • Patent number: 11330680
    Abstract: Method of processing an object in an energy application zone by radio frequency (RF) radiation emitted by one or more radiating elements configured to emit the RF radiation in response to RF energy applied thereto, wherein the method includes controlling supply of RF energy to the one or more radiating elements via an RF energy supply component, receiving measured response values produced based on RF energy received by the one or more radiating elements from the energy application zone, accessing a stored set of coefficients associated with the RF energy supply component, said set of coefficients being utilized to transform the measured response values and controlling application of RF energy to the one or more radiating elements based on the measured response values and the set of coefficients.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: May 10, 2022
    Assignee: GOJI LIMITED
    Inventors: Itzhak Chaimov, Avner Libman
  • Patent number: 11222799
    Abstract: A semiconductor-inspection method is performed by a semiconductor-inspection system. In the method, user input is received that specifies a swath across a semiconductor die for inspection. The swath has a width that is less than a width of the semiconductor die and that corresponds to a field of view of the semiconductor-inspection system. The swath of the semiconductor die is inspected based on the user input. Data from inspecting the swath is processed to identify defects in the swath.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: January 11, 2022
    Assignee: KLA Corporation
    Inventor: Bosuk Kang
  • Patent number: 11162778
    Abstract: A method for determining material removal by an ion beam (3) on a test workpiece (7) which is disposed in a machining chamber (5) of a housing (6) of a device (1) for beam machining, wherein the test workpiece (7) has a substrate (8) and a layer (9) applied to the substrate. The method includes a) optically determining a layer thickness (d1) of the layer applied to the substrate, b) removing material of the layer from the test workpiece with the ion beam, c) optically determining the layer thickness (d2) of the layer applied to the substrate, and d) determining the material removal by comparing the layer thickness determined in step a) with the layer thickness determined in step c). Also disclosed is a device (1) for beam machining a workpiece (2) with which the method can be carried out.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: November 2, 2021
    Assignee: CARL ZEISS SMT GMBH
    Inventors: Steffen Bezold, Stephan Six
  • Patent number: 11114327
    Abstract: Embodiments described herein provide methods and apparatus used to reduce or substantially eliminate undesirable scratches to the non-active surface of a substrate by monitoring and controlling the deflection of a substrate, and thus the contact force between the substrate and a substrate support, during substrate processing. In one embodiment a method for processing a substrate includes positioning the substrate on a patterned surface of a substrate support, where the substrate support is disposed in a processing volume of a processing chamber, applying a chucking voltage to a chucking electrode disposed in the substrate support; flowing a gas into a backside volume disposed between the substrate and the substrate support, monitoring a deflection of the substrate, and changing a chucking parameter based on the deflection of the substrate.
    Type: Grant
    Filed: August 20, 2018
    Date of Patent: September 7, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Wendell Glenn Boyd, Jr., Jim Zhongyi He, Zhenwen Ding
  • Patent number: 11047047
    Abstract: A shower head according to an embodiment includes: a mixing chamber mixing a plurality of process gases; a shower plate provided below the mixing chamber, the shower plate including a plurality of longitudinal flow paths and a lateral cooling flow path provided between the longitudinal flow paths, a mixed gas of the process gases flowing through the longitudinal flow paths, a cooling medium flowing through the lateral cooling flow path; and an outer circumferential portion cooling flow path provided around the shower plate.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: June 29, 2021
    Assignee: NuFlare Technology, Inc.
    Inventors: Takumi Yamada, Yuusuke Sato, Hideshi Takahashi
  • Patent number: 10961626
    Abstract: A substrate processing apparatus in accordance with an exemplary embodiments include: a first tube configured to provide a processing space in which a plurality of substrates are processed; a substrate support part configured to load the plurality of substrates in a first direction in the processing space; a plurality of gas supply parts provided with supply ports for supplying a process gas required for a process in which the substrates are processed; an exhaust part configured to communicate with the first tube and discharge process residues inside the processing space to the outside; and a plasma reaction part provided outside the first tube, and configured to decompose, with plasma, the process gas supplied from the gas supply part and provide the decomposed process gas to the processing space.
    Type: Grant
    Filed: July 2, 2018
    Date of Patent: March 30, 2021
    Inventors: Jeong Hee Jo, Hong Won Lee, Sung Ho Kang, Chang Dol Kim, Gyu Ho Choi
  • Patent number: 10892198
    Abstract: Exemplary etching methods may include flowing a hydrogen-containing precursor into a semiconductor processing chamber. The methods may include flowing a fluorine-containing precursor into a remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma of the fluorine-containing precursor in the remote plasma region. The methods may include etching a pre-determined amount of a silicon-containing material from a substrate in a processing region of the semiconductor processing chamber. The methods may include measuring a radical density within the remote plasma region during the etching. The methods may also include halting the flow of the hydrogen-containing precursor into the semiconductor processing chamber when the radical density measured over time correlates to a produced amount of etchant to remove the pre-determined amount of the silicon-containing material.
    Type: Grant
    Filed: September 14, 2018
    Date of Patent: January 12, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Chirantha P. Rodrigo, Suketu A. Parikh, Tsz Keung Cheung, Satya Gowthami Achanta, Jingchun Zhang, Saravjeet Singh, Tae Won Kim
  • Patent number: 10867876
    Abstract: A manufacturing method of a semiconductor device includes: forming a second conductive type layer over a first conductive type layer; and forming a trench by etching the second conductivity type layer by a plasma etching process to expose the first conductivity type layer. The etching of the second conductivity type layer includes: performing a spectroscopic analysis of light emission of plasma; detecting an interface between the first conductivity type layer and the second conductivity type layer based on a change in emission intensity; and stopping the etching of the second conductivity type layer when an end point is determined based on a detection result of the interface.
    Type: Grant
    Filed: January 23, 2020
    Date of Patent: December 15, 2020
    Assignee: DENSO CORPORATION
    Inventors: Aiko Kaji, Yoshiaki Yamanouchi, Jun Saito
  • Patent number: 10782237
    Abstract: Tracer gas sensing device comprising a gas discharge cell having cell walls defining a discharge volume and a tracer gas inlet into the discharge volume, an optical spectrometer arrangement having a radiation source on a first side of the discharge cell for emitting radiation into the discharge cell and a radiation detector on a second side of the discharge cell opposite to the first side for detecting radiation which was emitted by the radiation source through the discharge volume, and electrodes on opposing sides of the discharge cell for generating a plasma within the discharge cell, said electrodes being unexposed plasma electrodes. The discharge cell may be a dielectric barrier discharge cell and the electrodes may be powered by an AC power source.
    Type: Grant
    Filed: October 14, 2016
    Date of Patent: September 22, 2020
    Assignee: INFICON Holding AG
    Inventors: Vladimir Schwartz, Boris Chernodbrod
  • Patent number: 10510519
    Abstract: In time-series data indicating light emission of plasma when plasma processing is carried out on a sample by generating the plasma, an analysis apparatus creates combinations of a plurality of light emission wavelengths of elements and a plurality of time intervals within a plasma processing interval and calculates, for each of the combinations of the wavelengths and the time intervals, a correlation between an average value of light emission intensity and the number of times the plasma processing is carried out on the samples for each of the combinations of the wavelengths and the time intervals that have been created. Thereafter, the data analysis apparatus selects, as a combination of the wavelength and the time interval used to observe or control the plasma processing, a combination of a wavelength of light emitting from a specific element and a specific time interval having a maximum correlation.
    Type: Grant
    Filed: February 23, 2016
    Date of Patent: December 17, 2019
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoji Asakura, Kenji Tamaki, Akira Kagoshima, Daisuke Shiraishi, Masahiro Sumiya
  • Patent number: 10453653
    Abstract: Described herein are architectures, platforms and methods for determining endpoints of an optical emission spectroscopy (OES) data acquired from a plasma processing system. The OES data, for example, includes an absorption—step process, a desorption—step process, or a combination thereof. In this example, the OES data undergoes signal synchronization and transient signal filtering prior to endpoint determination, which may be implemented through an application of a moving average filter.
    Type: Grant
    Filed: March 8, 2017
    Date of Patent: October 22, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Yan Chen, Xinkang Tian, Jason Ferns
  • Patent number: 10431433
    Abstract: In a plasma processing apparatus, a controller controls one or both of a first high frequency power supply and a second high frequency power supply to periodically stop the supply of one or both of the first high frequency power and the second high frequency power. The controller also controls a switching unit to apply a DC voltage to a focus ring from a first time after a predetermined period of time in which a self-bias voltage of a lower electrode is decreased from a start point of each period in which one or both of the first high frequency power and the second high frequency power are supplied and to stop the application of the DC voltage to the focus ring during each period in which the supply of one or both of the first high frequency power and the second high frequency power is stopped.
    Type: Grant
    Filed: June 8, 2018
    Date of Patent: October 1, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Koichi Nagami, Natsumi Torii
  • Patent number: 10410937
    Abstract: A method of manufacturing a semiconductor device comprising: obtaining a raw light signal by selecting a predetermined wavelength band of light reflected from a wafer on which a plurality of patterns are formed; converting the raw light signal into a frequency domain; obtaining a first detection signal having a first frequency band from the raw light signal converted into the frequency domain; obtaining a second detection signal having a second frequency band from the raw light signal converted into the frequency domain, the second frequency band being different from the first frequency band; obtaining a representative value using the first detection signal, the representative value representing a profile of the plurality of patterns; and obtaining a distribution value using the second detection signal, the distribution value representing a profile of the plurality of patterns using the second detection signal.
    Type: Grant
    Filed: July 16, 2018
    Date of Patent: September 10, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jang Ik Park, Bong Seok Kim, Souk Kim, Yu Sin Yang, Soo Seok Lee
  • Patent number: 10408680
    Abstract: Provided is an apparatus for optical emission spectroscopy. The apparatus for the optical emission spectroscopy includes a light collection unit configured to collect light within a plasma process chamber in which plasma is generated to process a substrate, a light transmission unit configured to transmit the collected light, and an analysis unit configured to analyze the light provided through the light transmission unit, thereby analyzing a plasma state. The light collection unit includes a light collection part configured to concentrate the light generated in the plasma process chamber and provide the concentrated light to the light transmission unit.
    Type: Grant
    Filed: January 28, 2016
    Date of Patent: September 10, 2019
    Assignee: Industry-Academic Cooperation Foundation, Yonsei University
    Inventors: Ilgu Yun, Sang Myung Lee
  • Patent number: 10340170
    Abstract: A wafer grooving apparatus (100) for forming an elongate recess (103) in a semiconductor wafer surface, the apparatus comprising: a wafer table (110) for receiving and holding a semiconductor wafer; a radiation device (120) for generating a radiation beam (121); a beam directing device (130) for directing the radiation beam to a top surface (102) of the wafer so as to create a beam spot (142) where the radiation beam ablates wafer material on the wafer surface to form a recess; a wafer table displacement drive (170) for effecting a mutual displacement between the radiation beam and the wafer surface in a radiation beam displacement direction; a recess profile measuring device (180) arranged at a predetermined distance behind the beam directing device in the radiation beam displacement direction effected by the wafer table displacement drive for measuring a depth profile of the recess that has been formed by the radiation beam.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: July 2, 2019
    Assignee: ASM TECHNOLOGY SINGAPORE PTE LTD
    Inventors: Richard Van Lieshout, Guido Knippels
  • Patent number: 10340140
    Abstract: An abnormal processing can be appropriately detected in a processing of supplying a preset gas to a substrate as a processing target. A hydrophobizing unit U5 includes a processing vessel 21 configured to accommodate therein a wafer W as a processing target; an opening/closing unit 60 (first supply unit) configured to supply air (first gas) into the processing vessel 21; a gas supply unit 30 (second supply unit) configured to supply a HMDS gas (second gas), having a relative humidity different from that of the air, into the processing vessel 21; and a controller 100 (control unit). The controller 100 is configured to determine a state of a gas within the processing vessel 21 based on a relative humidity obtained after a supply of the air by the opening/closing unit 60 and a supply of the HMDS gas by the gas supply unit 30 are performed.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: July 2, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tetsuo Fukuoka, Masashi Itonaga
  • Patent number: 10304691
    Abstract: Silicon oxide and silicon nitride can be etched selectively against each other with high efficiency. A method includes preparing a processing target object within a chamber; etching the silicon oxide of the processing target object by generating plasma of a processing gas containing carbon, hydrogen and fluorine within the chamber in a state that a temperature of the processing target object is set to a first temperature; and etching the silicon nitride of the processing target object by generating the plasma of the processing gas containing carbon, hydrogen and fluorine within the chamber in a state that the temperature of the processing target object is set to a second temperature higher than the first temperature.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: May 28, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Taku Gohira, Sho Tominaga
  • Patent number: 10268124
    Abstract: A method including obtaining a first value of an optical characteristic determined for an etched profile of a substrate measured at a first wavelength of measurement radiation, obtaining a second value of the optical characteristic determined for the etched profile of the substrate measured at a second wavelength of measurement radiation, and obtaining a derived value that represents a difference between the first and second values; and determining, based on the first and second values or on the derived value, an occurrence of a tilt in the etching to form the etched profile.
    Type: Grant
    Filed: March 7, 2018
    Date of Patent: April 23, 2019
    Assignee: ASML Netherlands B.V.
    Inventor: Arie Jeffrey Den Boef
  • Patent number: 10255529
    Abstract: The present disclosure provides an improved approach to implement structure learning of neural networks by exploiting correlations in the data/problem the networks aim to solve. A greedy approach is described that finds bottlenecks of information gain from the bottom convolutional layers all the way to the fully connected layers. Rather than simply making the architecture deeper, additional computation and capacitance is only added where it is required.
    Type: Grant
    Filed: March 13, 2017
    Date of Patent: April 9, 2019
    Assignee: Magic Leap, Inc.
    Inventors: Andrew Rabinovich, Vijay Badrinarayanan, Daniel DeTone, Srivignesh Rajendran, Douglas Bertram Lee, Tomasz Malisiewicz
  • Patent number: 10217681
    Abstract: Silicon nitride plasma etching processes are disclosed that minimize the SiN roughness layer on a substrate having a SiN layer thereon by simultaneously introducing an oxidizer at a predetermined flow rate and an etch gas into a plasma reaction chamber containing the substrate. The etch gas has the formula CxHyFz, wherein x is 2-5, z is 1 or 2, 2x+2=y+z, and a fluorine atom is located on a terminal carbon atom of the etch gas.
    Type: Grant
    Filed: April 23, 2015
    Date of Patent: February 26, 2019
    Assignee: American Air Liquide, Inc.
    Inventors: James Royer, Venkateswara R. Pallem, Rahul Gupta
  • Patent number: 10157805
    Abstract: An apparatus for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing, comprising a plurality of gas injectors for admitting a processing gas into an etching chamber. Each gas injector of the plurality of gas injectors is disposed along a track within the etching chamber and moveable along the track. Further, each gas injector is coupled with a throttling valve or nozzle to permit adjustment of processing gas flow rate. A method for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing includes performing a chemical deposition or etch using the plurality of moveable and adjustable gas injectors and measuring the critical dimension uniformity. Adjustments to the location of at least one gas injector or the processing gas flow rate to at least one gas injector are made to increase critical dimension uniformity.
    Type: Grant
    Filed: June 10, 2016
    Date of Patent: December 18, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tzung-Shiun Lu, Chun-Lang Chen, Shih-Hao Yang, Jong-Yuh Chang
  • Patent number: 10079184
    Abstract: According to one embodiment, a semiconductor manufacturing apparatus includes a manufacturing processor, a signal acquisition unit, a frequency characteristic acquisition unit, and an end-point acquisition unit. The signal acquisition unit acquires a first processing signal which shows a different behavior during processing of a stacked body and after the processing of the stacked body. The frequency characteristic acquisition unit acquires a frequency characteristic of a noise caused by a periodic structure of the stacked body from the first processing signal during the processing of the stacked body. The end-point acquisition unit detects an end point of the processing using the acquired frequency characteristic. The manufacturing processor ends the processing when the end point is detected.
    Type: Grant
    Filed: June 4, 2015
    Date of Patent: September 18, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Yuya Matsuda, Ryo Suemitsu
  • Patent number: 10043641
    Abstract: Embodiments provide systems, methods and apparatus for detecting a cleaning endpoint of a cleaning process performed within a processing chamber. Embodiments include a spectrometer adapted to measure a spectrum response over time of a cleaning reaction within a processing chamber during a cleaning process; and a lens system coupled to the spectrometer and disposed to focus on a selected area within the processing chamber via a viewport and to amplify intensity of radiation from the selected area during the cleaning process. The selected area is chosen based on being the expected location of the last cleaning reaction during the cleaning process within the processing chamber (e.g., a corner in a rectangular chamber). Numerous other aspects are provided.
    Type: Grant
    Filed: September 22, 2016
    Date of Patent: August 7, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Young-Jin Choi, Su Ho Cho, Beomsoo Park, Fei Peng, Soo Young Choi
  • Patent number: 9972546
    Abstract: An etching time detection means and an etching time detection method for an etching device. The detection means comprises: a light wave emitter fixed on one substrate of the etching device, a light wave receiver fixed on another substrate and opposed to the light wave emitter, a detection system communicated with the light wave emitter and the light wave receiver for receiving light intensity signals and calculating etching time. With the detection means and the detection method, the automatical detection of etching time can be achieved and the deviation caused by visual observation can be effectively avoided.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: May 15, 2018
    Assignee: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Tiansheng Li, Changjiang Yan, Shaoying Xu, Zhenyu Xie, Xiaohui Jiang
  • Patent number: 9947967
    Abstract: The present invention provides a method for producing a fluorisulfonylimide salt, which enables reducing the impurity content and continuous operation for a long time, and a fluorosulfonyl imide salt. The fluorosulfonyl imide salt of the present invention has a K content of 10,000 ppm or less. The method for producing a fluorosulfonyl imide salt of the present invention is that after a fluorination reaction of chlorosulfonyl imde or a salt thereof, the reaction solution is brought into contact with an aqueous alkaline solution so as to remove impurities. The fluorosulfonyl imide salt of the present invention, in which various impirities are reduced to extremely low levels, is useful as an electrolyte used in a lithium secondary battery, a capacitor or the like, an ionic liquid, or an intermediate for a sulfonyl imide salt, and the like. It is expected that use of the fluorosulfonyl imide salt of the present invention as an electrolyte leads to a high-performance electrochemical device.
    Type: Grant
    Filed: November 26, 2010
    Date of Patent: April 17, 2018
    Assignee: Nippon Shokubai Co., Ltd.
    Inventors: Shimpei Sato, Yasunori Okumura, Yuichi Sato, Yasuyuki Miyoshi
  • Patent number: 9935023
    Abstract: A via hole is accurately formed in an interlayer insulating film over a metal wiring. Of emission spectra of plasma to be used for dry etching of the interlayer insulating film, the emission intensities of at least CO, CN, and AlF are monitored such that an end point of the dry etching of the interlayer insulating film is detected based on the emission intensities thereof.
    Type: Grant
    Filed: June 22, 2017
    Date of Patent: April 3, 2018
    Assignee: Renesas Electronics Corporation
    Inventors: Toshikazu Hanawa, Kazuhide Fukaya, Kentaro Yamada
  • Patent number: 9899278
    Abstract: In one embodiment, a semiconductor manufacturing apparatus includes an extraction module configured to extract, in cycle etching that repeats first processes of etching a workpiece layer and second processes of performing different processing from the first processes for plural cycles, light emission intensities in the first processes for individual cycles. The apparatus further includes a detection module configured to detect an etching end point of the workpiece layer in the cycle etching, based on the light emission intensities of the plural cycles.
    Type: Grant
    Filed: July 7, 2015
    Date of Patent: February 20, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Masao Ishikawa
  • Patent number: 9646847
    Abstract: A method for manufacturing an array substrate, a film-etching monitoring and a film-etching monitoring device. The monitoring method comprises: monitoring and recording the transmittance reference value of a film after a film pattern is formed; and monitoring the transmittance present value of the film in real time in the process of etching an overcoating layer to form a through hole after the overcoating layer is formed on the film pattern, and monitoring the etching degree of the film by determining the variation between the transmittance present value and the transmittance reference value. The device comprises a plurality of light sources (3) and a plurality of light-sensitive probes (4) disposed in the chamber. The light sources (3) are configured to irradiate the film on a substrate; and the light-sensitive probes (4) are configured to sense the transmittance of the film.
    Type: Grant
    Filed: May 23, 2014
    Date of Patent: May 9, 2017
    Assignee: BOE Technology Group Co., Ltd.
    Inventors: Zheng Liu, Jang Soon Im
  • Patent number: 9376754
    Abstract: A method includes introducing an organic metal gas containing hydrogen into a deposition vessel to cause a component of the organic metal containing hydrogen to be adsorbed on a substrate; introducing an oxidizing gas or a nitriding gas into the vessel, generating plasma with the oxidizing gas or the nitriding gas by a plasma source, and oxidizing or nitriding the component; detecting emission intensity of a wavelength of light through an observation window in the vessel, the light being emitted, by generating the plasma, from an excited hydrogen radical resulting from the hydrogen separated from the organic metal above the substrate when the organic metal reacts with the oxidizing gas or the nitriding gas to form an oxidized metal or a nitride metal on the substrate; and stopping the generation of the plasma when a value of the detected emission intensity becomes a first predetermined value or less.
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: June 28, 2016
    Assignee: MITSUI ENGINEERING & SHIPBUILDING
    Inventor: Kazuki Tanizawa
  • Patent number: 9177877
    Abstract: A temperature-adjusted spectrometer can include a light source and a temperature sensor.
    Type: Grant
    Filed: April 23, 2014
    Date of Patent: November 3, 2015
    Assignee: First Solar, Inc.
    Inventors: Markus E. Beck, Ming L. Yu
  • Patent number: 9129895
    Abstract: The disclosure provides a real-time wafer breakage detection method. The detection method includes the following operations. A wafer is positioned on a wafer holder of a process chamber in which a thermal process is being performed. Then, the temperature at the wafer holder is measured. And, a notification for corrective action is issued if the temperature is out of a predetermined alarm range.
    Type: Grant
    Filed: October 9, 2013
    Date of Patent: September 8, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Su-Hao Liu, Chien-Hung Lin, Wei-Han Huang, Zi-Wei Fang