By Electrical Means Or Of An Electrical Property Patents (Class 216/61)
  • Patent number: 8273259
    Abstract: Ashing of organic material is conducted initially at a low temperature and then at a high temperature. A low flow rate of ashing gas maximizes ashing rate at the low temperature, and a high flow rate of ashing gas maximizes ashing rate at a high temperature. Preferably, a crossover temperature of a particular organic material in a given ashing system is determined, the crossover temperature characterized in that below the crossover temperature, a decrease in ashing gas flow rate results in an increase of ashing rate, and above the crossover temperature, an increase in ashing gas flow rate results in an increase of ashing rate.
    Type: Grant
    Filed: January 17, 2009
    Date of Patent: September 25, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Huatan Qiu, David Wingto Cheung
  • Publication number: 20120217221
    Abstract: Systems, methods and apparatus for regulating ion energies in a plasma chamber are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber via a remotely generated ionizing electromagnetic field that extends into the plasma chamber from a remote projected source, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.
    Type: Application
    Filed: July 28, 2011
    Publication date: August 30, 2012
    Applicant: ADVANCED ENERGY INDUSTRIES, INC.
    Inventors: Daniel J. Hoffman, Victor Brouk, Daniel Carter
  • Publication number: 20120160806
    Abstract: Methods and apparatus to provide efficient and scalable RF inductive plasma processing are disclosed. In some aspects, the coupling between an inductive RF energy applicator and plasma and/or the spatial definition of power transfer from the applicator are greatly enhanced. The disclosed methods and apparatus thereby achieve high electrical efficiency, reduce parasitic capacitive coupling, and/or enhance processing uniformity. Various embodiments comprise a plasma processing apparatus having a processing chamber bounded by walls, a substrate holder disposed in the processing chamber, and an inductive RF energy applicator external to a wall of the chamber. The inductive RF energy applicator comprises one or more radiofrequency inductive coupling elements (ICEs). Each inductive coupling element has a magnetic concentrator in close proximity to a thin dielectric window on the applicator wall.
    Type: Application
    Filed: August 20, 2010
    Publication date: June 28, 2012
    Inventors: Valery A. Godyak, Charles Crapuchettes, Vladimir Nagorny
  • Patent number: 8163446
    Abstract: A method for fabricating a photomask using a self-assembled molecule layer, comprising: forming, on a transparent substrate, a stacked structure of a phase shift pattern and a light shielding pattern over the phase shift pattern, the stacked structure exposing a portion of a surface of the transparent substrate; exposing the phase shift pattern and a portion of the surface of the transparent substrate by removing a portion of the light shielding pattern; forming a self-assembled molecule layer allowing movement of electrons on the exposed surface of the transparent substrate; measuring a critical dimension of the phase shift pattern formed with the self-assembled molecule layer; neutralizing electrons applied during the measurement of the critical dimension with the self-assembled molecule layer allowing movement of electrons; and removing the self-assembled molecule layer.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: April 24, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jun Chun
  • Patent number: 8158017
    Abstract: A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: April 17, 2012
    Assignee: Lam Research Corporation
    Inventor: Eric Hudson
  • Patent number: 8142674
    Abstract: The invention provides a plasma processing apparatus and a plasma processing method capable of controlling the voltage of the processing substrate with high accuracy, thereby enabling a highly accurate plasma processing. According to the invention, a voltage of the processing substrate is measured using a processing substrate with a voltage probe prepared in advance, and based on a bias voltage supplied to an electrostatic chuck mechanism and a bias current flowing through the electrostatic chuck mechanism, a capacity component which is an impedance representing the electric property of the electrostatic chuck mechanism is computed numerically. Then, based on a predetermined expression, the voltage of the processing substrate is estimated using the bias voltage of the processing substrate to be measured, the bias current flowing through the electrostatic chuck mechanism and the capacity component which is the impedance acquired in advance.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: March 27, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hitoshi Tamura, Naoki Yasui, Seiichi Watanabe
  • Patent number: 8138096
    Abstract: In a plasma etching method, a substrate including an underlying film, an insulating film and a resist mask is plasma etched to thereby form a number of holes in the insulating film including a dense region and a sparse region by using a parallel plate plasma etching apparatus for applying a plasma-generating high frequency electric power to a space between an upper and a lower electrode and a biasing high frequency electric power to the lower electrode. The plasma etching method includes mounting the substrate on a mounting table; supplying a first process gas containing carbon and fluorine to form the holes in the insulating film to a depth close to the underlying film; and supplying a second process gas including an inert gas and another gas contain carbon and fluorine to have the holes reach the underlying film while applying a negative DC voltage to the upper electrode.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Ryoichi Yoshida
  • Publication number: 20120000887
    Abstract: According to one embodiment, there is provided a plasma treatment apparatus including an electrode, a first power supply circuit, a plasma generating unit, a second power supply circuit, a sensing unit, and a control unit. The electrode is arranged inside a treatment chamber. On the electrode, a substrate to be treated is placed. The first power supply circuit supplies power to the electrode. The plasma generating unit generates plasma in a space separated from the electrode inside the treatment chamber. The second power supply circuit supplies power to the plasma generating unit. The sensing unit senses a parameter output from the first power supply circuit. The control unit controls power supplied from the second power supply circuit so that the parameter sensed by the sensing unit becomes close to or substantially equal to a target value.
    Type: Application
    Filed: June 29, 2011
    Publication date: January 5, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Hideo Eto, Makoto Saito, Keiji Suzuki, Nobuyasu Nishiyama
  • Patent number: 8083961
    Abstract: A method and system for treating a substrate using a ballistic electron beam is described, whereby the radial uniformity of the electron beam flux is adjusted by modulating the source radio frequency (RF) power. For example, a plasma processing system is described having a first RF power coupled to a lower electrode, which may support the substrate, a second RF power coupled to an upper electrode that opposes the lower electrode, and a negative high voltage direct current (DC) power coupled to the upper electrode to form the ballistic electron beam. The amplitude of the second RF power is modulated to affect changes in the uniformity of the ballistic electron beam flux.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: December 27, 2011
    Assignees: Tokyo Electron Limited, Texas Instruments Incorporated
    Inventors: Lee Chen, Ping Jiang
  • Publication number: 20110303635
    Abstract: A dry etching apparatus includes: a vacuum chamber which includes therein a stage on which a member to be etched is mounted; a process gas supply device which supplies a process gas into the vacuum chamber; a plasma generating device which includes an electrode for generating a plasma in the vacuum chamber; a plasma generating power source which supplies high-frequency power for plasma generation to the electrode of the plasma generating device; a bias power source which is a single bias power source for controlling a self-bias potential of the stage and from which output frequency is variable; a matching box which is a single matching box connected electrically between the stage and the bias power source and which matches impedances between a load of the bias power source and the bias power source; a frequency setting device which sets an output frequency of the bias power source; and a control device which controls an impedance of the matching box according to the set output frequency of the bias power sour
    Type: Application
    Filed: June 10, 2011
    Publication date: December 15, 2011
    Inventor: Shuji TAKAHASHI
  • Patent number: 8073646
    Abstract: A plasma processing apparatus includes a radio frequency generator capable of adjusting a target output power level based on the set power level and the offset level to output radio frequency power; a chamber in which a plasma process is performed; and a power detection unit for measuring radio frequency power level fed to the matching unit. The plasma processing apparatus further includes a generator control unit for controlling the radio frequency power such that the radio frequency power level fed to the matching unit reaches the set power level by calculating the offset level based on the difference between the set power level and the power level measured by the power detection unit and transmitting the set power level and the offset level in digital form to the data input terminal of the radio frequency generator.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: December 6, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Sato
  • Patent number: 8048326
    Abstract: The present invention presents a plasma processing system for etching a layer on a substrate comprising a process chamber, a diagnostic system coupled to the process chamber and configured to measure at least one endpoint signal, and a controller coupled to the diagnostic system and configured to determine in-situ at least one of an etch rate and an etch rate uniformity of the etching from the endpoint signal. Furthermore, an in-situ method of determining an etch property for etching a layer on a substrate in a plasma processing system is presented comprising the steps: providing a thickness of the layer; etching the layer on the substrate; measuring at least one endpoint signal using a diagnostic system coupled to the plasma processing system, wherein the endpoint signal comprises an endpoint transition; and determining the etch rate from a ratio of the thickness to a difference between a time during the endpoint transition and a starting time of the etching.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: November 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Hongyu Yue, Hieu A. Lam
  • Patent number: 8048327
    Abstract: In a plasma processing apparatus for processing an object to be processed by generating plasma in a processing chamber: a first electrode is arranged in the processing chamber and a second electrode is arranged to face the first electrode in the processing chamber; a first and a second power systems include a first and a second power supplies for supplying a first and a second powers to the first and the second electrodes, respectively; and a control unit controls both or either one of the first and the second power systems so as to apply a preprocessing voltage to the second electrode for a time period before plasma processing is performed on the object.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: November 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Masatoshi Kitano
  • Publication number: 20110259851
    Abstract: Systems, methods and apparatus for regulating ion energies in a plasma chamber are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.
    Type: Application
    Filed: August 29, 2010
    Publication date: October 27, 2011
    Applicant: Advanced Energy Industries, Inc.
    Inventors: Victor Brouk, Randy Heckman, Daniel J. Hoffman
  • Publication number: 20110253672
    Abstract: The present invention is intended to improve the uniformity in a distribution function of incident ion energy inside a wafer surface, and realize uniform plasma processing (etching or the like) inside the wafer surface. In a plasma processing apparatus, a bias application portion of a placement electrode on which a wafer is placed is divided into an inner electrode and an outer electrode at positions near the center of the wafer and the edge thereof. Each of a first bias power and a second bias power to be used to accelerate ions incident on the wafer is bifurcated, and the resultant bias powers are fed to the inner electrode and outer electrode using a power distributor by adjusting the power ratio.
    Type: Application
    Filed: August 12, 2010
    Publication date: October 20, 2011
    Inventors: Masami Kamibayashi, Masahito Mori, Hiroyuki Kobayashi, Keizo Suzuki, Naoyuki Kofuji
  • Patent number: 8021564
    Abstract: A method for detecting an end point of a resist peeling process in which a resist is gasified to be peeled off by producing hydrogen radicals by catalytic cracking reaction where a hydrogen-containing gas contacts with a high-temperature catalyst, and contacting the produced hydrogen radicals with a resist on a substrate, includes monitoring one or more parameters indicating a state of the catalyst and detecting the end point of the resist peeling process based on variations of the monitored parameters. The hydrogen-containing gas may be a H2 gas. The parameters indicating the state of the catalyst may be one or more electrical parameters when a power is supplied to the catalyst. Further, the catalyst may be a filament made of a high melting point metal.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: September 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Isamu Sakuragi, Kazuhiro Kubota
  • Patent number: 8012363
    Abstract: A method of fabricating a printhead having a hydrophobic ink ejection face, the method comprising the steps of: (a) providing a partially-fabricated printhead comprising a plurality of nozzle chambers and a nozzle plate having relatively hydrophilic nozzle surface, the nozzle surface at least partially defining the ink ejection face of the printhead; (b) depositing a hydrophobic polymeric layer onto the nozzle surface; (c) depositing a protective metal film onto at least the polymeric layer; (d) depositing a sacrificial material onto the polymeric layer; (e) patterning the sacrificial material to define a plurality of nozzle opening regions; (f) defining a plurality of nozzle openings through the metal film, the polymeric layer and the nozzle plate; (g) subjecting the printhead to an oxidizing plasma; and (h) removing the protective metal film, thereby providing a printhead having a relatively hydrophobic ink ejection face.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: September 6, 2011
    Assignee: Silverbrook Research Pty Ltd
    Inventors: Gregory John McAvoy, Emma Rose Kerr, Kia Silverbrook
  • Patent number: 8012305
    Abstract: An exhaust assembly is described for use in a plasma processing system, whereby secondary plasma is formed in the exhaust assembly between the processing space and chamber exhaust ports in order to reduce plasma leakage to a vacuum pumping system, or improve the uniformity of the processing plasma, or both. The exhaust assembly includes a powered exhaust plate in combination with a ground electrode is utilized to form the secondary plasma surrounding a peripheral edge of a substrate treated in the plasma processing system.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: September 6, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Takahashi
  • Publication number: 20110198315
    Abstract: A plasma processing method includes generating plasma in a processing chamber by supplying at least any of one or more electrodes provided in the processing chamber with a high-frequency power to process a substrate. The method includes applying the high-frequency power to at least any of the one or more electrodes, applying a direct-current voltage to at least any of the one or more electrodes, and previously adjusting the high-frequency power applied to the electrode at a timing when the apply of the direct-current voltage is started or terminated under a state in which the high-frequency power is applied to the electrode.
    Type: Application
    Filed: April 25, 2011
    Publication date: August 18, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chishio KOSHIMIZU, Naoki Matsumoto
  • Publication number: 20110174777
    Abstract: A sensing device for measuring a plasma process parameter in a plasma chamber for processing workpieces may include a substrate with one or more sensor embedded in the substrate. The substrate can have a surface made of substantially the same material as workpieces that are plasma processed in the plasma chamber. Each sensor can include a collector portion made of substantially the same material as the substrate surface. The collector portion includes a surface that is level with the surface of the substrate. Sensor electronics are embedded into the substrate and coupled to the collector portion. When the substrate surface is exposed to a plasma one or more signals resulting from the plasma can be measured with the sensor(s).
    Type: Application
    Filed: January 21, 2010
    Publication date: July 21, 2011
    Applicant: KLA-Tencor Corporation
    Inventors: Earl Jensen, Mei Sun
  • Publication number: 20110114599
    Abstract: A plasma processing apparatus includes a plurality of radio-frequency power supplies for supplying radio-frequency powers having frequencies different from each other, a common feeding line for superposing radio-frequency powers supplied respectively from the plurality of radio-frequency power supplies and feeding the superposed radio-frequency power to a same radio-frequency electrode, a radio-frequency power extracting device for extracting radio-frequency powers having predetermined frequencies from radio-frequency powers fed via the feeding line, and a radio-frequency voltage detector for measuring voltages of the radio-frequency powers having the predetermined frequencies extracted by the radio-frequency power extracting device.
    Type: Application
    Filed: January 26, 2011
    Publication date: May 19, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chishio KOSHIMIZU, Naoki Matsumoto
  • Patent number: 7910013
    Abstract: For each one of plural plasma parameters, such as ion density, wafer voltage, etch rate, wafer current, a relevant surface of constant value is fetched from a memory. The relevant surface of constant value corresponds to a user-selected value of one of the plasma parameters, the surface being defined in a space of which each one of plural, chamber parameters (e.g., source power, bias power and chamber pressure) is a dimension. An intersection of these relevant surfaces is found, the intersection corresponding to a target value of source power, bias power and chamber pressure. The source power, the bias power and the chamber pressure, respectively, are set to their corresponding target values.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Ezra Robert Gold
  • Patent number: 7901952
    Abstract: The invention concerns a method of processing a wafer in a plasma reactor chamber by controlling plural chamber parameters in accordance with desired values of plural plasma parameters. The method includes concurrently translating a set of M desired values for M plasma parameters to a set of N values for respective N chamber parameters. The M plasma parameters are selected from a group including wafer voltage, ion density, etch rate, wafer current, etch selectivity, ion energy and ion mass. The N chamber parameters are selected from a group including source power, bias power, chamber pressure, inner magnet coil current, outer magnet coil current, inner zone gas flow rate, outer zone gas flow rate, inner zone gas composition, outer zone gas composition. The method further includes setting the N chamber parameters to the set of N values.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: March 8, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Ezra Robert Gold
  • Patent number: 7892442
    Abstract: A method of manufacturing a thin-film magnetic head works a part to be worked to a target length by carrying out an etching process on an object to be worked using an etching apparatus.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: February 22, 2011
    Assignee: TDK Corporation
    Inventors: Hiroo Sawada, Jun Shouji, Mitsuhiro Kitao, Eiji Yamada
  • Patent number: 7871830
    Abstract: A method for controlling the plasma etching of semiconductor wafers determines the impedance of a plasma chamber using values representing voltage, current, and the phase angle between them, as provided by a sensor. All or less than all of the data during a first time period may be used to calculate a model. During a second time period, real time data is used to calculate a version of the instant impedance of the chamber. This version of impendence is compared to a time-projected version of the model. The method determines that etching should be stopped when the received data deviates from the extrapolated model by a certain amount. In some embodiments a rolling average is used in the second time period, the rolling average compared to the model to determine the end point condition.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: January 18, 2011
    Assignee: Pivotal Systems Corporation
    Inventors: Sumer S. Johal, Barton Lane, Georges J. Gorin, Sylvia G. J. P. Spruytte, Herve C. Kieffel
  • Patent number: 7848898
    Abstract: Methods for monitoring process drift using plasma characteristics are provided. In one embodiment, a method for monitoring process drift using plasma characteristics includes obtaining metrics of current and voltage information of a first waveform coupled to a plasma during a plasma process formed on a substrate, obtaining metrics of current and voltage information of a second waveform coupled to the plasma during the plasma process formed on the substrate, the first and second waveforms having different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform, and adjusting the plasma process in response to the determined at least one characteristic of the plasma.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: December 7, 2010
    Assignee: Applied Materials Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari
  • Publication number: 20100282711
    Abstract: Provided are a process monitoring apparatus and method. The process monitoring apparatus includes a process chamber in which a process is performed, a probe assembly disposed on the process chamber, and comprising a probe electrode, a plasma generator generating plasma around the probe assembly, and a drive processor applying an alternating current (AC) voltage having at least 2 fundamental frequencies to the probe assembly, and extracting process monitoring parameters.
    Type: Application
    Filed: December 12, 2008
    Publication date: November 11, 2010
    Inventors: Chin-Wook Chung, Minhyong Lee, Sung-Ho Jang, Ik-Jin Choi, Jung-Hyung Kim, Yong-Hyeon Shin
  • Patent number: 7815813
    Abstract: An end point detection method in the case where a catalyst arranged in a treatment chamber of a gas phase reaction processing apparatus is heated at high temperature by supplying electric power thereto and the treatment is carried out by cracking a reaction gas by the catalyst heated at high temperature, comprises the steps of supplying the electric power to the catalyst from a constant current source, detecting electric potential difference between both ends of the catalyst, performing primary differentiation of the detected electric potential difference, and determining an end point of the treatment based on obtained primary differential value.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: October 19, 2010
    Assignees: Tokyo Ohka Kogyo Co., Ltd., Japan Advanced Institute of Science and Technology
    Inventors: Kazuhisa Takao, Hiroshi Ikeda, Hideki Matsumura, Atsushi Masuda, Hironobu Umemoto
  • Patent number: 7808253
    Abstract: It is an object to provide a test method of a process, an electric characteristic, and a mechanical characteristic of a structure body in a micromachine without contact. A structure body including a first conductive layer, a second conductive layer provided in parallel to the first conductive layer, and a sacrifice layer or a space provided between the first conductive layer and the second conductive layer is provided; an antenna connected to the structure body is provided; electric power is supplied to the structure body wirelessly through the antenna; and an electromagnetic wave generated from the antenna is detected as a characteristic of the structure body.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: October 5, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Mayumi Yamaguchi, Konami Izumi, Fuminori Tateishi
  • Patent number: 7795153
    Abstract: The invention involves a method of processing a workpiece on workpiece support pedestal in a plasma reactor chamber in accordance with user-selected values of plural (i.e., N) plasma parameters by controlling plural chamber parameters. The plasma parameters may be selected from of a group including ion density, wafer voltage, etch rate, wafer current and possibly other plasma parameters. The chamber parameters may be selected from a group including source power, bias power, chamber pressure, magnet coil current of different coils, gas flow rate in different gas injection zones, gas species composition in different gas injection zones, and possibly other chamber parameters. The method begins with a first step carried out for each one of the selected plasma parameters.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: September 14, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Ezra Robert Gold
  • Patent number: 7794615
    Abstract: A plasma processing apparatus includes an upper matching unit 44 which is a variable matching unit whose impedance can be varied, and a main controller 100. The upper matching unit 44 includes a controller 104 for variably controlling the impedance positions of a variable reactance element of a matching circuit 102, a RF sensor for measuring a load impedance including the matching circuit 102, and a VPP measuring circuit 112 for measuring a peak value (peak-to-peak value) of a radio frequency voltage in a waveguide line at the output side of the upper matching unit 44. The main controller 100 executes and controls an autorunning of the matching units 44, 88 for optimizing an off preset of the impedance positions thereof. The plasma can be readily get ignited without requiring to set or change special processing conditions while influencing none of the processes.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: September 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Ogawa
  • Publication number: 20100176085
    Abstract: An object is to provide a plasma processing device capable of rightly monitoring existence of plasma discharge and also rightly monitoring existence of abnormal discharge. Another object of the present invention is to provide a method of monitoring a state of plasma discharge in the plasma processing device. A discharge detection sensor 23, in which a dielectric member 21 and a probe electrode unit 22 are combined with each other, is attached to an opening portion 2a provided in a lid portion 2 composing a vacuum chamber.
    Type: Application
    Filed: August 21, 2008
    Publication date: July 15, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Tatsuhiro Mizukami, Kiyoshi Arita, Masaru Nonomura
  • Patent number: 7722778
    Abstract: Universal plasma unconfinement detection systems configured to detect the plasma unconfinement condition in the plasma processing chamber and methods therefor. The detection systems and methods are designed to reliably and accurately detect the existence of the plasma unconfinement condition in a process-independent and recipe-independent manner.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: May 25, 2010
    Assignee: Lam Research Corporation
    Inventors: Andreas Fischer, David Pirkle
  • Patent number: 7723236
    Abstract: Mixing ratio and flow rate of a first gaseous mixture supplied to a central portion of the substrate are set. Subsequently, etching is performed by changing a mixing ratio of a second gaseous mixture supplied to an outer peripheral portion of the substrate while a setting of the first gaseous mixture is fixed, thereby, setting the mixing ratio of the second gaseous mixture based on an etching result to make etching selectivities and shapes at the central portion and the outer peripheral portion of the substrate uniform. Then, etching is performed by changing a flow rate of the second gaseous mixture while settings of the first gaseous mixture and the mixing ratio of the second gaseous mixture are fixed, thereby, setting the flow rate of the second gaseous mixture based on etching results to make etching rates at the central portion and the outer peripheral portion of the substrate uniform.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: May 25, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiromasa Mochiki
  • Patent number: 7713432
    Abstract: The present invention provides a method and an apparatus for improving the etch uniformity across a substrate during a plasma etch process that employs the use of an inductively coupled plasma helical inductor. The plasma apparatus comprising a vacuum chamber, a support member in the vacuum chamber for holding the substrate, an etchant gas supply for providing an etchant gas to the vacuum chamber, an exhaust in fluid communication with the vacuum chamber, an RF power source and a helical inductor disposed around or near a portion of the vacuum chamber. A sensor is provided for measuring a process attribute to generate a signal to a controller that then controls a mechanism that varies the position of the helical inductor so that the uniformity of the plasma etch is improved.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: May 11, 2010
    Inventors: David Johnson, Russell Westerman
  • Publication number: 20100096361
    Abstract: Universal plasma unconfinement detection systems configured to detect the plasma unconfinement condition in the plasma processing chamber and methods therefor. The detection systems and methods are designed to reliably and accurately detect the existence of the plasma unconfinement condition in a process-independent and recipe-independent manner.
    Type: Application
    Filed: June 28, 2006
    Publication date: April 22, 2010
    Inventors: Andreas Fischer, David Pirkle
  • Patent number: 7695983
    Abstract: A method of processing a workpiece in a plasma reactor includes coupling RF power from at least three RF power source of three respective frequencies to plasma in the reactor, setting ion energy distribution shape by selecting a ratio between the power levels of a first pair of the at least three RF power sources, and setting ion dissociation and ion density by selecting a ratio between the power levels of a remaining one of the three RF power sources and an applied magnetic field. The three respective frequencies can be an LF frequency, an HF frequency and a VHF frequency, wherein the first pair corresponds to the LF and HF frequencies and the second pair corresponds to the HF and VHF frequencies.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: April 13, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Daniel J. Hoffman
  • Patent number: 7689028
    Abstract: A method for predicting a processing result includes a process of performing a principal component analysis on a plurality of detected data obtained during a first standard processing, to construct a principal component analysis model; a process of obtaining residuals of the principal component analysis model as first residuals; a process of performing a second standard processing; and a process of obtaining a plurality of detected data from a plurality of detectors during the second standard processing. And, the detected data obtained during the second standard processing are applied to the principal component analysis model, to obtain second residuals. The method further includes a process of weighting the second residuals based on weighting references, and constructing a new multivariate analysis model with use of the weighted second residuals; and a process of predicting a processing result of the second standard processing with use of the multivariate analysis model.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: March 30, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shinji Sakano, Satoshi Harada
  • Patent number: 7682843
    Abstract: Zero point shift based on thermal siphon effect occurring actually when a substrate is processed is detected accurately and corrected suitably. The semiconductor fabrication system comprises a gas supply passage (210) for supplying gas into a heat treatment unit (110), an MFC (240) for comparing an output voltage from a detecting unit for detecting the gas flow rate of the gas supply passage with a set voltage corresponding to a preset flow rate and controlling the gas flow rate of the gas supply passage to the set flow rate, and a control unit (300).
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: March 23, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Moriya, Tsuneyuki Okabe, Hiroyuki Ebi, Tetsuo Shimizu, Hitoshi Kitagawa
  • Publication number: 20090321391
    Abstract: A plasma processing apparatus includes a plasma-generation high-frequency power supply which generates plasma in a processing chamber, a biasing high-frequency power supply which applies high-frequency bias electric power to an electrode on which a sample is placed, a monitor which monitors a peak-to-peak value of the high-frequency bias electric power applied to the electrode, an electrostatic chuck power supply which makes the electrode electrostatically attract the sample, a self-bias voltage calculating unit which calculates self-bias voltage of the sample by monitoring the peak-to-peak value of the high-frequency bias electric power applied to the electrode, and an output voltage control unit which controls output voltage of the electrostatic chuck power supply based on the calculated self-bias voltage.
    Type: Application
    Filed: August 18, 2008
    Publication date: December 31, 2009
    Inventors: Takamasa ICHINO, Ryoji NISHIO, Shinji OBAMA
  • Patent number: 7620511
    Abstract: Methods for determining characteristics of a plasma are provided. In one embodiment, a method for determining characteristics of a plasma includes obtaining metrics of current and voltage information for first and second waveforms coupled to a plasma at different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform. In another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, and determining at least one characteristic of a plasma using model. In yet another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, measuring current and voltage for waveforms coupled to the plasma and having at least two different frequencies, and determining ion mass of a plasma from model and the measured current and voltage of the waveforms.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: November 17, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari
  • Publication number: 20090223926
    Abstract: A plasma processing apparatus, for performing a plasma processing on a substrate to be processed by generating a plasma of the processing gas in an evacuable processing chamber, includes an impedance adjusting mechanism. The impedance adjusting mechanism is provided with a resonance circuit formed to allow a radio frequency current to flow into the first electrode; a variable impedance unit installed on a power feed line to the first electrode; a detector for detecting an apparatus state to be used to search a resonance point of the resonance circuit; and a controller for searching a resonance point of the resonance circuit by detecting a signal of the apparatus state of the detector while varying a value of the variable impedance unit in a state where the plasma is formed and then adjusting the value of the variable impedance unit at the resonance point to a reference value.
    Type: Application
    Filed: May 14, 2009
    Publication date: September 10, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Taichi Hirano
  • Publication number: 20090194506
    Abstract: The invention provides a plasma processing apparatus and a plasma processing method capable of controlling the voltage of the processing substrate with high accuracy, thereby enabling a highly accurate plasma processing. According to the invention, a voltage of the processing substrate is measured using a processing substrate with a voltage probe prepared in advance, and based on a bias voltage supplied to an electrostatic chuck mechanism and a bias current flowing through the electrostatic chuck mechanism, a capacity component which is an impedance representing the electric property of the electrostatic chuck mechanism is computed numerically. Then, based on a predetermined expression, the voltage of the processing substrate is estimated using the bias voltage of the processing substrate to be measured, the bias current flowing through the electrostatic chuck mechanism and the capacity component which is the impedance acquired in advance.
    Type: Application
    Filed: April 8, 2009
    Publication date: August 6, 2009
    Inventors: Hitoshi Tamura, Naoki Yasui, Seiichi Watanabe
  • Publication number: 20090139962
    Abstract: A method and system are provided for controlling the accumulation of electrical charge during a semiconductor plasma etching process performed in a plasma etching chamber. The bias voltage supplied to the plasma etching chamber is modulated by a bias power modulation circuit to control the accumulation of electrical charge and to force the accumulated electrical charge to be periodically discharged at a controlled rate of discharge that prevents the wafer from being damaged.
    Type: Application
    Filed: November 29, 2007
    Publication date: June 4, 2009
    Inventors: Edward Aiguo Wang, Nace Rossi
  • Patent number: 7521370
    Abstract: A plasma reactor chamber is characterized by performing the following steps: (a) for each one of the chamber parameters, ramping the level of the one chamber parameter while sampling RF electrical parameters at an RF bias power input to the wafer support pedestal and computing from each sample of the RF electrical parameters the values of the plasma parameters, and storing the values with the corresponding levels of the one chamber parameter as corresponding chamber parameter data; (b) for each one of the chamber parameters, deducing, from the corresponding chamber parameter data, a single variable function for each of the plasma parameters having the one chamber parameter as an independent variable.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Daniel J. Hoffman
  • Patent number: 7510665
    Abstract: A method for controlling a plasma in a semiconductor substrate processing chamber is provided. The method includes the steps of supplying a first RF signal to a first electrode within the processing chamber at a first frequency selected to cause plasma sheath oscillation at the first frequency; and supplying a second RF signal from the source to the first electrode at a second frequency selected to cause plasma sheath oscillation at the second frequency, wherein the second frequency is different from the first frequency by a differential equal to a desired frequency selected to cause plasma sheath oscillation at the desired frequency.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: March 31, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Alexander Paterson, Theodoros Panagopoulos, John P. Holland, Dennis S. Grimard, Daniel J. Hoffman
  • Patent number: 7442318
    Abstract: A method of manufacturing a thermal print head includes a conductor layer formation step, a first measurement step, a conductor layer splitting step and a second measurement step. In the conductor layer formation step, a single conductor layer including first and second measurement points is formed on a substrate. In the first measurement step, the electrical resistance is measured in the conductor layer, between the first and the second measurement points. In the conductor layer splitting step, a predetermined portion of the conductor layer is removed, so that a first electrode including the first measurement point and a second electrode including the second measurement point are formed. In the second measurement step, the resistance between the first and the second electrodes is measured. If the conductor layer has a disconnected portion in the first measurement step, a repairing conductor is formed on the disconnected portion.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: October 28, 2008
    Assignee: Rohm Co., Ltd.
    Inventors: Masaya Yamamoto, Shinobu Obata
  • Patent number: 7440859
    Abstract: Methods for determining characteristics of a plasma are provided. In one embodiment, a method for determining characteristics of a plasma includes obtaining metrics of a plasma at two different frequencies, and determining at least one characteristic of the plasma utilizing the metrics. In another embodiment, a method for determining characteristics of a plasma includes obtaining metrics of current and voltage information for first and second waveforms coupled to a plasma at different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform. In another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, and determining at least one characteristic of a plasma using model.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: October 21, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari
  • Patent number: 7431857
    Abstract: A method and apparatus for generating and controlling a plasma in a semiconductor substrate processing chamber using a dual frequency RF source is provided. The method includes the steps of supplying a first RF signal from the source to an electrode within the processing chamber at a first frequency and supplying a second RF signal from the source to the electrode within the processing chamber at a second frequency. The second frequency is different from the first frequency by an amount equal to a desired frequency. Characteristics of a plasma formed in the chamber establish a sheath modulation at the desired frequency.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Alex Paterson, Theodoros Panagopoulos, John P. Holland, Dennis Grimard, Yashushi Takakura
  • Patent number: 7422511
    Abstract: An element for detecting an amount of lapping of a stacked structure that includes a substrate and a magnetic field detecting sensor is provided. The element comprises: a resistive film that is arranged on a lapping surface of the stacked structure, the resistive film being exposed at the lapping surface together with the magnetic field detecting sensor, wherein the resistive film has a resistance value that varies depending on the amount of lapping; and a pad for measuring the resistance value, wherein the pad is formed on a surface of the stacked structure, the surface being other than the lapping surface, and wherein the pad is electrically connected to one end of the resistive film. Another end of the resistive film is electrically connected to the substrate.
    Type: Grant
    Filed: June 8, 2007
    Date of Patent: September 9, 2008
    Assignee: SAE Magnetics (H.K.) Ltd.
    Inventor: Osamu Fukuroi