Application Of Energy To The Gaseous Etchant Or To The Substrate Being Etched Patents (Class 216/63)
  • Patent number: 7897201
    Abstract: A method is for manufacturing a magnetoresistance effect element having a magnetization fixed layer, a non-magnetic intermediate layer, and a magnetization free layer being sequentially stacked. The method includes: forming at least a part of a magnetic layer that is to become either one of the magnetization fixed layer and the magnetization free layer; forming a function layer including at least one of an oxide, a nitride, and a fluoride on the part of the magnetic layer; and removing a part of the function layer by exposing the function layer to either one of an ion beam and plasma irradiation.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: March 1, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiromi Yuasa, Hideaki Fukuzawa, Yoshihiko Fuji, Hitoshi Iwasaki
  • Patent number: 7887711
    Abstract: A system and method for patterning metal oxide materials in a semiconductor structure. The method comprises a first step of depositing a layer of metal oxide material over a substrate. Then, a patterned mask layer is formed over the metal oxide layer leaving one or more first regions of the metal oxide layer exposed. The exposed first regions of the metal oxide layer are then subjected to an energetic particle bombardment process to thereby damage the first regions of the metal oxide layer. The exposed and damaged first regions of the metal oxide layer are then removed by a chemical etch. Advantageously, the system and method is implemented to provide high-k dielectric materials in small-scale semiconductor devices. Besides using the ion implantation damage (I/I damage) plus wet etch technique to metal oxides (including metal oxides not previously etchable by wet methods), other damage methods including lower energy, plasma-based ion bombardment, may be implemented.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: February 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Douglas A. Buchanan, Eduard A. Cartier, Evgeni Gousev, Harald Okorn-Schmidt, Katherine L. Saenger
  • Patent number: 7877855
    Abstract: A method for forming a vertical coupling structure for non-adjacent resonators is provided to have a first and a second resonators, a dielectric material layer, a first and a second high-frequency transmission lines and at least one via pole. The first and the second resonators respectively have a first and a second opposite metal surfaces. The dielectric material layer is disposed between the opposite second metal surfaces of the first and the second resonators. The first and the second transmission lines are respectively arranged at sides of the first metal surfaces of the first resonator and the second resonator. The first high-frequency transmission line is vertically connected to the second high-frequency transmission line by the via pole.
    Type: Grant
    Filed: January 7, 2008
    Date of Patent: February 1, 2011
    Assignees: Industrial Technology Research Institute, National Taiwan University
    Inventors: Chia-Cheng Chuang, Ruey-Beei Wu, Tze-Min Shen
  • Patent number: 7850864
    Abstract: There are proposed a plasma treating apparatus and a plasma treating method using the same capable of improving the durability of site, member and parts in a chamber used for plasma etching in a corrosive gas atmosphere, which are exposed to the plasma atmosphere, and improving the resistance to plasma erosion of a coating formed on the surface of the member or the like in the corrosive gas atmosphere and preventing the occurrence of particles of a corrosion product even under a high plasma power. As a means therefore, in a plasma treating apparatus wherein a surface of a body to be treated in a chamber is subjected to a plasma treatment with an etching gas, at least surfaces of sites of the chamber itself exposing to the plasma atmosphere, or surfaces of a member or parts accommodated in the chamber are covered with a composite layer including a porous layer made from a metal oxide and a secondary recrystallized layer of the metal oxide formed on the porous layer.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kobayashi
  • Patent number: 7833429
    Abstract: A plasma processing method for a plasma processing apparatus which includes, a gas ring, a bell jar, an antenna, a sample table, a Faraday shield, and an RF power source circuit for supplying a power source voltage to the antenna and the Faraday shield. The RF power source circuit includes an RF power source, an antenna connected with the RF power source, a resonance circuit connected in series with the antenna and supplying a resonance voltage, a detection circuit for detecting the resonance voltage of the resonance circuit, and a comparator circuit for comparing the resonance voltage detected by the detection circuit with a predetermined set value. A RF bias voltage is adjusted based on the result of comparison by the comparison circuit.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: November 16, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoji Nishio, Ken Yoshioka, Saburou Kanai, Tadamitsu Kanekiyo, Hideki Kihara, Koji Okuda
  • Patent number: 7833427
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a halogen and carbon containing gas source. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: November 16, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Patent number: 7807579
    Abstract: An oxygen-free hydrogen plasma ashing process particularly useful for low-k dielectric materials based on hydrogenated silicon oxycarbide materials. The main ashing step includes exposing a previously etched dielectric layer to a plasma of hydrogen and optional nitrogen, a larger amount of water vapor, and a yet larger amount of argon or helium. Especially for porous low-k dielectrics, the main ashing plasma additionally contains a hydrocarbon gas such as methane. The main ashing may be preceded by a short surface treatment by a plasma of a hydrogen-containing reducing gas such as hydrogen and optional nitrogen.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Chan-Syun Yang, Changhun Lee
  • Publication number: 20100224592
    Abstract: Electron-beam-induced chemical reactions with precursor gases are controlled by adsorbate depletion control. Adsorbate depletion can be controlled by controlling the beam current, preferably by rapidly blanking the beam, and by cooling the substrate. The beam preferably has a low energy to reduce the interaction volume. By controlling the depletion and the interaction volume, a user has the ability to produce precise shapes.
    Type: Application
    Filed: March 8, 2010
    Publication date: September 9, 2010
    Applicant: FEI COMPANY
    Inventors: MILOS TOTH, Richard J. Young, Alexander Henstra, Alan Frank de Jong, Johannes Jacobus Lambertus Mulders
  • Patent number: 7786403
    Abstract: A method for etching a chromium layer in a vacuum chamber which may comprise introducing a halogen compound into the vacuum chamber, directing an electron beam onto the area of the chromium layer to be etched and/or introducing an oxygen including compound into the vacuum chamber. A further method for the highly resolved removal of a layer out of metal and/or metal oxide which may be arranged on an isolator or a substrate having poor thermal conductivity, may comprise arranging the layer inside a vacuum chamber, bombarding the layer with a focused electron beam with an energy of 3-30 keV, wherein the electron beam may be guided such that the energy transfer per time and area causes a localized heating of the layer above its melting and/or vaporization point and wherein the removal of the layer may be performed without the supply of reaction gases into the vacuum chamber.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: August 31, 2010
    Assignee: Nawo Tec GmbH
    Inventors: Hans Koops, Klaus Edinger, Sergey Babin, Thorsten Hofmann, Petra Spies
  • Patent number: 7771605
    Abstract: The invention concerns a method of marking an article using a laser emitting radiation of wavelength ?, the article being formed of a material that is slightly absorbent at said wavelength ?, characterized in that it includes the following main steps: taking a support formed of a metal that is highly absorbent at wavelength ? and capable of converting at least in part the light energy absorbed into thermal energy, arranging the article directly against the support so as to form a sufficient thermal contact between the article and the support to transfer the thermal energy from the support to the article, the article being inserted between the laser and the support, locally illuminating said support through said article in conditions able to generate, at the surface of the support, sufficient thermal energy for the thermal energy transfer from the support to the article to produce on the surface of the article a local physical or chemical transformation resulting in a contrast at the origin of the marking.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: August 10, 2010
    Assignee: Montres Breguet S.A.
    Inventor: Nakis Karapatis
  • Patent number: 7767106
    Abstract: Provided is a dry etching method for an oxide semiconductor film containing at least In, Ga, and Zn, which includes etching an oxide semiconductor film in a gas atmosphere containing a halogen-based gas.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: August 3, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventor: Chienliu Chang
  • Patent number: 7758761
    Abstract: A substance including tungsten and carbon is etched by using plasma. The plasma is generated from a mixed gas of a gas including a fluorine atom and a gas including a CN bond and a hydrogen atom.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: July 20, 2010
    Assignee: Panasonic Corporation
    Inventors: Hideo Nakagawa, Masaru Sasago, Tomoyasu Murakami
  • Patent number: 7749353
    Abstract: A method for etching a high aspect ratio feature through a mask into a layer to be etched over a substrate is provided. The substrate is placed in a process chamber, which is able to provide RF power at a first frequency, a second frequency different than the first frequency, and a third frequency different than the first and second frequency. An etchant gas is provided to the process chamber. A first etch step is provided, where the first frequency, the second frequency, and the third frequency are at power settings for the first etch step. A second etch step is provided, where the first frequency, the second frequency, and the third frequency are at a different power setting.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: July 6, 2010
    Assignee: Lam Research Corporation
    Inventors: Camelia Rusu, Rajinder Dhindsa, Eric A. Hudson, Mukund Srinivasan, Lumin Li, Felix Kozakevich
  • Patent number: 7737042
    Abstract: A pulsed plasma system for etching semiconductor structures is described. In one embodiment, a portion of a sample is removed by applying a pulsed plasma process, wherein the pulsed plasma process comprises a plurality of duty cycles. The ON state of a duty cycle is of a duration sufficiently short to substantially inhibit micro-loading in a reaction region adjacent to the sample, while the OFF state of the duty cycle is of a duration sufficiently long to substantially enable removal of a set of etch by-products from the reaction region. In another embodiment, a first portion of a sample is removed by applying a continuous plasma process. The continuous plasma process is then terminated and a second portion of the sample is removed by applying a pulsed plasma process.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Tae Won Kim, Kyeong-Tae Lee, Alexander Paterson, Valentin N. Todorow, Shashank C. Deshmukh
  • Patent number: 7723236
    Abstract: Mixing ratio and flow rate of a first gaseous mixture supplied to a central portion of the substrate are set. Subsequently, etching is performed by changing a mixing ratio of a second gaseous mixture supplied to an outer peripheral portion of the substrate while a setting of the first gaseous mixture is fixed, thereby, setting the mixing ratio of the second gaseous mixture based on an etching result to make etching selectivities and shapes at the central portion and the outer peripheral portion of the substrate uniform. Then, etching is performed by changing a flow rate of the second gaseous mixture while settings of the first gaseous mixture and the mixing ratio of the second gaseous mixture are fixed, thereby, setting the flow rate of the second gaseous mixture based on etching results to make etching rates at the central portion and the outer peripheral portion of the substrate uniform.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: May 25, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiromasa Mochiki
  • Patent number: 7718080
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: May 18, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Patent number: 7700494
    Abstract: A method is provided for low-pressure plasma ashing to remove photoresist remnants and etch residues that are formed during preceding plasma etching of dielectric layers. The ashing method uses a two-step plasma process involving an oxygen-containing gas, where low or zero bias is applied to the substrate in the first cleaning step to remove significant amount of photoresist remnants and etch residues from the substrate, in addition to etching and removing detrimental fluoro-carbon residues from the chamber surfaces. An increased bias is applied to the substrate in the second cleaning step to remove the remains of the photoresist and etch residues from the substrate. A chamber pressure less than 20 mTorr is utilized in the second cleaning step. The two-step process reduces the memory effect commonly observed in conventional one-step ashing processes. A method of endpoint detection can be used to monitor the ashing process.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 20, 2010
    Assignee: Tokyo Electron Limited, Inc.
    Inventors: Vaidyanathan Balasubramaniam, Masaaki Hagihara, Eiichi Nishimura, Koichiro Inazawa, Rie Inazawa, legal representative
  • Patent number: 7686972
    Abstract: It is made possible to manufacturing a magnetic recording medium capable of high-density recording at low cost. A method for manufacturing a magnetic recording medium, includes: forming a magnetic film on a substrate; and forming a pattern transfer film, into which a concavo-convex pattern of a magnetic recording medium is to be transferred by imprinting, by vapor-depositing a material for forming the pattern transfer film onto the magnetic film while heating the material at a temperature of 100° C. to 400° C. in a vacuum of 1×10?3 Torr or less.
    Type: Grant
    Filed: February 12, 2007
    Date of Patent: March 30, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Hieda, Yoshiyuki Kamata, Satoshi Shirotori
  • Patent number: 7674389
    Abstract: Methods of shape modifying a nanodevice by contacting it with a low-energy focused electron beam are disclosed here. In one embodiment, a nanodevice may be permanently reformed to a different geometry through an application of a deforming force and a low-energy focused electron beam. With the addition of an assist gas, material may be removed from the nanodevice through application of the low-energy focused electron beam. The independent methods of shape modification and material removal may be used either individually or simultaneously. Precision cuts with accuracies as high as 10 nm may be achieved through the use of precision low-energy Scanning Electron Microscope scan beams. These methods may be used in an automated system to produce nanodevices of very precise dimensions. These methods may be used to produce nanodevices of carbon-based, silicon-based, or other compositions by varying the assist gas.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: March 9, 2010
    Assignee: The Regents of the University of California
    Inventors: Alex Zettl, Thomas David Yuzvinsky, Adam Fennimore
  • Patent number: 7655152
    Abstract: An etching method includes applying a first electromagnetic radiation to an area of structure, thereby altering a characteristic of the structure in the area, and applying a second electromagnetic radiation to the structure, the second electromagnetic radiation configured to selectively ablate the structure based on the characteristic.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: February 2, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Curt Nelson, Greg Long
  • Publication number: 20100003461
    Abstract: A ferroelectric thin film (11) is formed by using ferroelectric bodies having different etching rates in accordance with polarization direction and the ferroelectric thin film (11) is etched so as to form a pit (14) having a maximum length value of 1 nm to 30 nm in the orientation direction. Moreover, the depth of the pit (14) is set so that it is possible to distinguish the electrostatic capacity based on the polarization direction of the ferroelectric thin film (11) from the electrostatic capacity based on the pit (14).
    Type: Application
    Filed: September 4, 2007
    Publication date: January 7, 2010
    Inventors: Atsushi Onoe, Takanori Maeda
  • Publication number: 20090277873
    Abstract: The object of the present invention is to provide a dry etching method which permits the reduction of the amount of any etching product formed during the etching process to thus improve the in-plane etching uniformity with respect to an object to be etched. The dry etching method comprises the steps of providing an electrode equipped with an electrode-presser member which at least comprises a surface layer composed of an yttrium-containing oxide and which is disposed on the peripheral region of the upper surface of the electrode, placing a substrate on the electrode and then subjecting the substrate to dry etching, while preventing the formation of any etching product at the peripheral region of the electrode.
    Type: Application
    Filed: September 5, 2007
    Publication date: November 12, 2009
    Applicant: ULVC, Inc
    Inventors: Yasuhiro Morikawa, Koukou Suu
  • Patent number: 7615163
    Abstract: A method of using a film formation apparatus for a semiconductor process includes processing by a cleaning gas a by-product film deposited on an inner surface of a reaction chamber of the film formation apparatus. This step is arranged to supply the cleaning gas into the reaction chamber, and set an interior of the reaction chamber at a first temperature and a first pressure. The by-product film mainly contains a high-dielectric-constant material. The cleaning gas contains chlorine without containing fluorine. The first temperature and the first pressure are set to activate chlorine in the cleaning gas.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: November 10, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Akitake Tamura, Shigeru Nakajima, Tetsushi Ozaki
  • Patent number: 7585420
    Abstract: The present invention is generally directed to catalyzed hot stamp methods for polishing and/or patterning carbon nanotube-containing substrates. In some embodiments, the substrate, as a carbon nanotube fiber end, is brought into contact with a hot stamp (typically at 200-800° C.), and is kept in contact with the hot stamp until the morphology/patterns on the hot stamp have been transferred to the substrate. In some embodiments, the hot stamp is made of material comprising one or more transition metals (Fe, Ni, Co, Pt, Ag, Au, etc.), which can catalyze the etching reaction of carbon with H2, CO2, H2O, and/or O2. Such methods can (1) polish the carbon nanotube-containing substrate with a microscopically smooth finish, and/or (2) transfer pre-defined patterns from the hot stamp to the substrate. Such polished or patterned carbon nanotube substrates can find application as carbon nanotube electrodes, field emitters, and field emitter arrays for displays and electron sources.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: September 8, 2009
    Assignee: William Marsh Rice University
    Inventors: Yuhuang Wang, Robert H. Hauge, Howard K. Schmidt, Myung Jong Kim, W. Carter Kittrell
  • Patent number: 7563380
    Abstract: An apparatus and a method comprising same for removing metal oxides from a substrate surface are disclosed herein. In one particular embodiment, the apparatus comprises an electrode assembly that has a housing that is at least partially comprised of an insulating material and having an internal volume and at least one fluid inlet that is in fluid communication with the internal volume; a conductive base connected to the housing comprising a plurality of conductive tips that extend therefrom into a target area and a plurality of perforations that extend therethrough and are in fluid communication with the internal volume to allow for a passage of a gas mixture comprising a reducing gas.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: July 21, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Wayne Thomas McDermott, Alexander Schwarz, Gregory Khosrov Arslanian, Richard E. Patrick, Gary A. Orbeck, Donald A. Seccombe, Jr.
  • Patent number: 7559130
    Abstract: A method for fabricating a quartz nanoresonator which can be integrated on a substrate, along with other electronics is disclosed. In this method a quartz substrate is bonded to a base substrate. The quartz substrate is metallized so that a bias voltage is applied to the resonator, thereby causing the quartz substrate to resonate at resonant frequency greater than 100 MHz. The quartz substrate can then be used to drive other electrical elements with a frequency equal to its resonant frequency. The quartz substrate also contains tuning pads to adjust the resonant frequency of the resonator. Additionally, a method for accurately thinning a quartz substrate of the resonator is provided. The method allows the thickness of the quartz substrate to be monitored while the quartz substrate is simultaneously thinned.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: July 14, 2009
    Assignee: HRL Laboratories, LLC
    Inventors: Randall L. Kubena, David T. Chang, Jinsoo Kim
  • Patent number: 7550394
    Abstract: A method of fabricating a semiconductor device includes a dry etching process of a silicon surface. The dry etching process is conducted by an etching gas containing at least one gas species selected from the group consisting of: HBr, HCl, Cl2, Br2 and HI, wherein the dry etching process includes a first step conducted at a first temperature; and a second step conducted at a second temperature.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: June 23, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Hiroshi Morioka
  • Publication number: 20090142472
    Abstract: Synthetic nanopore fabrication methods and structures are provided. Nanoscale transistor fabrication methods and structures are provided.
    Type: Application
    Filed: July 14, 2006
    Publication date: June 4, 2009
    Inventors: Phillip W. Barth, Thomas Edward Kopley, Nicolas J. Moll, Ying-Lan Chang
  • Patent number: 7514012
    Abstract: The present invention discloses a method for processing a deformable element of a microstructure for reducing the plastic deformation by oxidizing the deformable element. The method of the present invention can be performed at a variety of stages of the fabrication and packaging processes.
    Type: Grant
    Filed: January 27, 2004
    Date of Patent: April 7, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Jonathan Doan, Satyadev R. Patel, Dmitri Simonian
  • Patent number: 7514015
    Abstract: A system for removing photoresist from semiconductor wafers is disclosed. The system utilizes a solid-state laser having wavelengths in the near-visible and visible portions of the electromagnetic spectrum to remove photoresist without requiring hazardous gases or wet solutions. In addition, the system does not damage the substrate being cleaned, nor leave a carbon residue requiring further processing to remove. The system uses photon energy, oxygen, water vapor and ozone to interact with contaminants on a surface, forming a gas reaction zone (GRZ). The GRZ reacts and completely removes the photoresist or other unwanted contamination.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: April 7, 2009
    Assignee: UVTech Systems
    Inventors: David J. Elliott, Ronald P. Millman, Jr., Murray Tardif, Krista Aiello
  • Patent number: 7510666
    Abstract: An ion-ion plasma source, that features a processing chamber containing a large concentration of halogen or halogen-based gases. A second chamber is coupled to the processing chamber and features an electron source which produces a high energy electron beam. The high energy electron beam is injected into the processing chamber where it is shaped and confined by a means for shaping and confining the high energy electron beam. The high energy electron beam produced in the second chamber when injected into the processing chamber ionizes the halogen gas creating a dense, ion-ion plasma in the processing chamber that is continuous in time.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: March 31, 2009
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Scott G. Walton, Robert Meger, Richard Fernsler, Darrin Leenhardt
  • Patent number: 7497095
    Abstract: The invention provides a method for producing a quartz glass jig for use in semiconductor industries, which enables increasing the surface layer cleanliness simply and surely at low cost; it also provides a quartz glass jig improved in surface layer cleanliness. The inventive means for resolution are a method comprising processing a quartz glass raw material into a desired shape by a treatment inclusive of fire working, annealing for stress removal, and cleaning treatment to obtain the final product, the method is characterized by that it comprises performing gas phase etching step and gas phase purification step on the surface layer of the quartz glass jig after applying the annealing treatment for stress removal but before the cleaning treatment, wherein the gas phase purification step is carried out continuously after the gas phase etching step.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: March 3, 2009
    Assignees: Heraeus Quarzglas GmbH & Co. KG, Shin-Estu Quartz Products Co., Ltd.
    Inventor: Tatsuhiro Sato
  • Patent number: 7465946
    Abstract: An EUV light source is disclosed which may comprise at least one optical element having a surface, such as a multi-layer collector mirror; a laser source generating a laser beam; and a source material irradiated by the laser beam to form a plasma and emit EUV light. In one aspect, the source material may consist essentially of a tin compound and may generate tin debris by plasma formation which deposits on the optical element and, in addition, the tin compound may include an element that is effective in etching deposited tin from the optical element surface. Tin compounds may include SnBr4, SnBr2 and SnH4. In another aspect, an EUV light source may comprise a molten source material irradiated by a laser beam to form a plasma and emit EUV light, the source material comprising tin and at least one other metal, for example tin with Gallium and/or Indium.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: December 16, 2008
    Assignee: Cymer, Inc.
    Inventors: Norbert R. Bowering, Oleh V. Khodykin, Alexander N. Bykanov, Igor V. Fomenkov
  • Patent number: 7452477
    Abstract: The invention relates to a procedure for etching of materials at the surface by focussed electron beam induced chemical reaction at the surface, with the following steps: a) in a vacuum atmosphere the material which is to be etched is irradiated with at least one beam of molecules and at least one first beam of electrons, whereby the irradiated material and the molecules of the beam of molecules are excited in a way that a chemical reaction takes place and forms a reaction product, which is not gaseous/not volatile—reaction step. The invention is characterized in that b) the reaction product is evaporated from said surface by an second beam of electrons, which heats the material locally to a temperature above the vaporisation temperature of the reaction product —removal step—.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: November 18, 2008
    Assignee: NaWoTec GmbH
    Inventors: Hans Wilfried Peter Koops, Klaus Edinger
  • Patent number: 7445725
    Abstract: Etching the surface (activating the surface) of a halogenated polymer component with an electron beam generates a set of free radical sites in polymeric chains of the surface that sustain for at least 4 hours in an inert environment. The inert environment is provided by a noble gas, nitrogen, a static free space, and/or a vacuum. Items such as dynamic seals, static seals, gaskets, pump diaphragms, hoses, and o-rings all benefit from precursors made according to the technique.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: November 4, 2008
    Assignee: Freudenberg-NOK General Partnership
    Inventor: Edward Hosung Park
  • Patent number: 7434719
    Abstract: A method of detecting and calibrating dry fluxing metal surfaces of one or more components to be soldered by electron attachment using a gas mixture of reducing gas comprising hydrogen and deuterium, comprising the steps of: a) providing one or more components to be soldered which are connected to a first electrode as a target assembly; b) providing a second electrode adjacent the target assembly; c) providing a gas mixture comprising a reducing gas comprising hydrogen and deuterium between the first and second electrodes; d) providing a direct current (DC) voltage to the first and second electrodes to form an emission current between the electrodes and donating electrons to the reducing gas to form negatively charged ionic reducing gas and molecules of hydrogen bonded to deuterium; e) contacting the target assembly with the negatively charged ionic reducing gas and reducing oxides on the target assembly. Related apparatus is also disclosed.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: October 14, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Eugene Joseph Karwacki, Jr., Richard E. Patrick
  • Patent number: 7427519
    Abstract: A method of detecting an end point of a plasma etching process for etching a first layer on a second layer is described, the first layer producing a first etching product and the second layer a second etching product. Time-dependent intensity [Ij=1 to m(t)] of a number “m” (m?1) of spectral line(s) of the first etching product in emission spectrum of the plasma and that [Ii=1 to n(t)] of a number “n” (n?1) of spectral line(s) of the second etching product in the emission spectrum are collected, wherein “m+n?3” is satisfied. One index of Lm ? ( t ) ? [ = ? i = 1 , j = 1 n , m ? ? I i ? ( t ) I j ? ( t ) ] , Ls ? ( t ) ? [ = ? i = 1 , j = 1 n , m ? ? I i ? ( t ) I j ? ( t ) ] , Lm?(t) {=d[Lm(t)]/dt} and Ls?(t) {=d[Ls(t)]/dt} is calculated in real time and plotted with the time. An etching end-point is identified from the plot of the one index with the time.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: September 23, 2008
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Hong-Ji Lee
  • Publication number: 20080210163
    Abstract: A method and apparatus for delivering precursor materials to a processing chamber is described. The apparatus includes a gas distribution assembly having multiple gas delivery zones. Each zone may include a plenum having an inlet for receiving a precursor gas and at least one source of non-thermal energy, such as an infrared light source. The at least one source of non-thermal energy is may be varied to control the intensity of wavelengths from the infrared light source.
    Type: Application
    Filed: November 8, 2007
    Publication date: September 4, 2008
    Inventors: David Keith Carlson, Satheesh Kuppurao, Howard Beckford, Herman Diniz, Kailash Kiran Patalay, Brian Hayes Burrows, Jeffrey Ronald Campbell, Zouming Zhu, Xiaowei Li, Errol Antonio Sanchez
  • Patent number: 7420189
    Abstract: An ultra precise polishing method includes controlling an irradiation time of a surface position of an object to be processed irradiated by a gas cluster ion beam. A profile is created and polished on the surface of the object to be processed by controlling irradiation of the gas cluster ion beam. An ultra precise polishing apparatus includes an irradiating device for irradiating a surface of an object to be processed by a gas cluster ion beam. A positioning device is provided for changing a surface position of the object to be processed, which is irradiated by the gas cluster ion beam by moving the irradiating device and the object to be processed relative to each other. A control device is provided for controlling the irradiation time of a surface position of the object to be processed irradiated by the gas cluster ion beam.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: September 2, 2008
    Assignees: Olympus Corporation
    Inventors: Tomonori Imamura, Isao Yamada, Noriaki Toyoda
  • Publication number: 20080179285
    Abstract: A wafer holding device for etching process, includes a base pallet; a cover pallet disposed on the base pallet, the cover pallet having at least one receiving hole defined therein; a base pad located on the base pallet and contained in the receiving hole; and a wafer jig placed on the base pad and contained in the receiving hole. At least one gas-diluting recess is formed in a surface of the cover pallet, the surface being spaced away from the base pallet, the gas-diluting recess being communicated with the receiving hole to dilute byproduct gases generated during the etching process. The invention also discloses a method for controlling etch rate of a wafer to be etched during an etching process.
    Type: Application
    Filed: January 25, 2007
    Publication date: July 31, 2008
    Applicant: SAE Magnetics (H.K.) Ltd.
    Inventors: Hao Li, HongTao Ma, HongXin Fang, XiaoFeng Qiao
  • Publication number: 20080160788
    Abstract: Methods for reducing the surface roughness of semiconductor wafers through a combination of rough polishing and thermally annealing the wafer.
    Type: Application
    Filed: December 19, 2007
    Publication date: July 3, 2008
    Applicant: MEMC ELECTRONIC MATERIALS, INC.
    Inventors: Larry W. Shive, Brian L. Gilmore
  • Patent number: 7387738
    Abstract: The present invention relates to a method for removing metal oxides from a substrate surface. In one particular embodiment, the method comprises: providing a substrate, a first, and a second electrode that reside within a target area; passing a gas mixture comprising a reducing gas through the target area; supplying an amount of energy to the first and/or the second electrode to generate electrons within the target area wherein at least a portion of the electrons attach to a portion of the reducing gas and form a negatively charged reducing gas; and contacting the substrate with the negatively charged reducing gas to reduce the metal oxides on the surface of the substrate.
    Type: Grant
    Filed: April 28, 2003
    Date of Patent: June 17, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Wayne Thomas McDermott, Alexander Schwarz, Gregory Khosrov Arslanian, Richard E. Patrick
  • Publication number: 20080105648
    Abstract: The present invention is generally directed to catalyzed hot stamp methods for polishing and/or patterning carbon nanotube-containing substrates. In some embodiments, the substrate, as a carbon nanotube fiber end, is brought into contact with a hot stamp (typically at 200-800° C.), and is kept in contact with the hot stamp until the morphology/patterns on the hot stamp have been transferred to the substrate. In some embodiments, the hot stamp is made of material comprising one or more transition metals (Fe, Ni, Co, Pt, Ag, Au, etc.), which can catalyze the etching reaction of carbon with H2, CO2, H2O, and/or O2. Such methods can (1) polish the carbon nanotube-containing substrate with a microscopically smooth finish, and/or (2) transfer pre-defined patterns from the hot stamp to the substrate. Such polished or patterned carbon nanotube substrates can find application as carbon nanotube electrodes, field emitters, and field emitter arrays for displays and electron sources.
    Type: Application
    Filed: December 14, 2005
    Publication date: May 8, 2008
    Applicant: William Marsh Rice University
    Inventors: Yuhuang Wang, Robert H. Hauge, Howard K. Schmidt, Myung Jong Kim, W. Carter Kittrell
  • Patent number: 7361605
    Abstract: In processing an integrated circuit structure including a contact arrangement that is initially covered by a stop layer, a first plasma is used to etch to form openings through an overall insulation layer covered by a patterned layer of photoresist such that one contact opening is associated with each contact. Stripping of the patterned layer of photoresist and related residues is performed. After stripping, the stop layer is removed from the contacts. In one feature, the stop layer is removed from the contacts by etching the stop layer using a plasma that is generated from a plasma gas input that includes hydrogen and essentially no oxygen. In another feature, the photoresist is stripped after the stop layer is removed. Stripping the patterned layer of photoresist and the related residues is performed, in this case, using a plasma that is formed predominantly including hydrogen without oxygen.
    Type: Grant
    Filed: January 19, 2005
    Date of Patent: April 22, 2008
    Assignee: Mattson Technology, Inc.
    Inventors: Stephen E. Savas, Wolfgang Helle
  • Publication number: 20080078743
    Abstract: A temperature-controlled substrate holder having a high temperature substrate chuck is mounted within a chemical treatment chamber. The temperature-controlled substrate holder secures a substrate and maintains the substrate at a temperature that ranges from about 10° C. up to about 150° C. during execution of a chemical oxide removal process.
    Type: Application
    Filed: September 28, 2006
    Publication date: April 3, 2008
    Inventors: Andres F. Munoz, Siddhartha Panda, Michael R. Sievers, Richard Wise
  • Patent number: 7325299
    Abstract: A method of making a circuitized substrate. A conductive layer having a substantially planar upper surface is formed on and in direct mechanical contact with an upper surface of a substrate. A portion of the conductive layer is removed to form an interim side wall in the conductive layer. A layer of patternable material is formed on the substantially planar upper surface and on the interim side wall. A portion of the layer of patternable material on the conductive layer is removed to expose the interim side wall. A portion of the substantially planar upper surface is removed to form a side wall in the layer of patternable material. Portions of the interim side wall in the conductive layer are removed to form a second side wall and a bottom wall defined by the upper surface of the substrate. The second side wall is substantially perpendicular to the bottom wall.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: February 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Frank D. Egitto, Kevin T. Knadle, Andrew M. Seman
  • Patent number: 7322368
    Abstract: A plasma cleaning gas for CVD chamber is a gas for cleaning silicon-containing deposits on the surface of a CVD chamber inner wall and the surfaces of members placed inside the CVD chamber after film forming treatment on a substrate by a plasma CVD apparatus. The cleaning gas includes 100% by volume of fluorine gas which gas can generate plasma by electric discharge. When 100% by volume of fluorine gas is plasma-generated by electric discharge and then used as a cleaning gas, an extremely excellent etching rate can be attained and further plasma can be stably generated even in the total gas flow rate of 1000 sccm and at a chamber pressure of 400 Pa. Further, the uniformity of cleaning can be also ensured in the above conditions. Additionally the fluorine gas concentration is 100% so that the apparatus is not complicated and thereby the cleaning gas has excellent practicability.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: January 29, 2008
    Inventors: Akira Sekiya, Yuki Mitsui, Yutaka Ohira, Taisuke Yonemura
  • Publication number: 20080006603
    Abstract: A method of imaging and repairing defects on and below the surface of an integrated circuit (IC) is described. The method may be used in areas as small as one micron in diameter, and may remove the topmost material in the small spot, repeating with various layers, until a desired depth is obtained. An energetic beam, such as an electron beam, is directed at a selected surface location. The surface has an added layer of a solid, fluid or gaseous reactive material, such as a directed stream of a fluorocarbon, and the energetic beam disassociates the reactive material in the region of the beam into radicals that chemically attack the surface. After the defect location is exposed, the method uses the energetic beam to etch undesired materials, and deposit various appropriate materials to fill gaps, and restore the IC to an operational condition.
    Type: Application
    Filed: July 10, 2006
    Publication date: January 10, 2008
    Inventors: Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington
  • Patent number: 7311851
    Abstract: Reactive atom plasma processing can be used to shape, polish, planarize, and clean surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, clean and/or deposit material on the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from, and/or redistributing material on, the surface of the workpiece. This description is not intended to be a complete description of, or limit the scope of, the invention. Other features, aspects, and objects of the invention can be obtained from a review of the specification, the figures, and the claims.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: December 25, 2007
    Assignee: Rapt Industries, Inc.
    Inventor: Jeffrey W. Carr
  • Patent number: 7306744
    Abstract: A method of manufacturing a nozzle plate 2 is disclosed. The nozzle plate 2 has a plurality of nozzle openings 22 through each of which a droplet is adapted to be ejected. The method includes the steps of: preparing a processing substrate (silicon substrate 10) constituted from silicon as a main material, the processing substrate having two major surfaces; providing a supporting substrate 50 for supporting the processing substrate onto one major surface of the processing substrate 50; and forming the plurality of nozzle openings 22 on the other major surface of the processing substrate by subjecting the other major surface of the processing substrate to an etching process while the processing substrate is supported by the supporting substrate 50.
    Type: Grant
    Filed: April 27, 2005
    Date of Patent: December 11, 2007
    Assignee: Seiko Epson Corporation
    Inventors: Yoshihide Matsuo, Katsuji Arakawa