Using Coil To Generate The Plasma Patents (Class 216/68)
  • Patent number: 6926011
    Abstract: A three-step polymer removal process that reverses the conventional sequence in which polymer is removed. In the preferred embodiment of the present invention the polymer is first removed from the Gas Deposition Table, after this the polymer is stripped from the inner surface of the created contact hole.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: August 9, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bao-Ru Young, Chia-Shiung Tsai
  • Patent number: 6905626
    Abstract: A method of preventing notching during a cyclical etching and deposition of a substrate with an inductively coupled plasma source is provided by the present invention. In accordance with the method, the inductively coupled plasma source is pulsed to prevent charge build up on the substrate. The off state of the inductively coupled plasma source is selected to be long enough that charge bleed off can occur, but not so long that reduced etch rates result due to a low duty cycle. The pulsing may be controlled such that it only occurs when the substrate is etched such that an insulating layer is exposed. A bias voltage may also be provided to the insulating layer and the bias voltage may be pulsed in phase or out of phase with the pulsing of the inductively coupled plasma source.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: June 14, 2005
    Assignee: Unaxis USA Inc.
    Inventors: Russell Westerman, David Johnson, Shouliang Lai
  • Patent number: 6905625
    Abstract: A plasma processing method includes exhausting the interior of a vacuum chamber while supplying gas into the vacuum chamber, and while maintaining the interior of the chamber at a desired pressure. A high-frequency power of 100 kHz to 100 MHz is applied to a coil provided in the vicinity of a dielectric window provided so as to face a substrate placed on a substrate electrode in the vacuum Thus, plasma is generated in the vacuum chamber to process the substrate or a film on the substrate by the generated plasma while particles which tend to move straight from a surface of the substrate or from a surface of the film on the substrate toward a wall surface of the dielectric window inside the vacuum chamber are kept interrupted by a metal plate.
    Type: Grant
    Filed: April 3, 2002
    Date of Patent: June 14, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tomohiro Okumura, Takayuki Kai, Yoichiro Yashiro
  • Patent number: 6902629
    Abstract: Methods and apparatus for cleaning deposition chambers are presented. The cleaning methods include the use of a remote plasma source to generate reactive species from a cleaning gas to clean deposition chambers. A flow of helium or argon may be used during chamber cleaning. Radio frequency power may also be used in combination with a remote plasma source to clean deposition chambers.
    Type: Grant
    Filed: April 12, 2002
    Date of Patent: June 7, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Yi Zheng, Vinita Singh, Srinivas D. Nemani, Chen-An Chen, Ju-Hyung Lee, Shankar Venkataraman
  • Patent number: 6899817
    Abstract: A method and a suitable device for carrying out this method is proposed, for etching a substrate (10), especially a silicon element, with the aid of an inductively coupled plasma (14). For this purpose, a high frequency electromagnetic alternating field is generated, which produces an inductively coupled plasma (14) from reactive particles in a reactor (15). In this connection, the inductively coupled plasma (14) comes about by the action of the high frequency electromagnetic alternating field upon a reactive gas. Furthermore, a device, in particular a magnetic field coil (21) is provided which produces a static or timewise varying magnetic field between the substrate (10) and the ICP source (13). For this, the magnetic field is oriented in such a way that its direction is at least approximately or predominantly parallel to the direction defined by the line connecting the substrate (10) and the inductively coupled plasma (14).
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: May 31, 2005
    Assignee: Robert Bosch GmbH
    Inventors: Volker Becker, Franz Laermer, Andrea Schilp
  • Patent number: 6889697
    Abstract: A three-step polymer removal process that reverses the conventional sequence in which polymer is removed. In the preferred embodiment of the present invention the polymer is first removed from the Gas Deposition Table, after this the polymer is stripped from the inner surface of the created contact hole.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: May 10, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bao-Ru Young, Chia-Shiung Tsai
  • Patent number: 6858112
    Abstract: A process for fabricating a product 28, 119. The process comprises the steps of subjecting a substrate to a composition of entities, at least one of the entities emanating from a species generated by a gaseous discharge excited by a high frequency field in which the vector sum of currents to phase and inverse-phase capacitive coupled voltages from the inductive coupling structure can be selectively maintained.
    Type: Grant
    Filed: November 18, 1996
    Date of Patent: February 22, 2005
    Assignee: Hitachi Kokusai Electric Co., Ltd.
    Inventors: Daniel L. Flamm, Georgy K. Vinogradov, Shimao Yoneyama
  • Patent number: 6852243
    Abstract: A confinement device for operative arrangement within a substrate etching chamber, having a lower surface of the device generally arranged over a substrate outer top surface such that a gap-spacing therebetween is generally equidistant. This spacing is less than a sheath thickness for the plasma, preferably less than ?rd of an inner width of an aperture through the lower surface of the device. The aperture, sized preferably greater than 3 times the sheath thickness, is in communication with a channel of the device in which an etchant gas can be confined for reaction to selectively etch a localized area in the substrate outer top surface generally below the aperture. A system for dry etching an IC wafer includes a substrate etching chamber and a confinement device. The etchant gas may be a plasma induced and sustained by RF energy, a microwave source, or other source, as designed.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: February 8, 2005
    Assignee: LSI Logic Corporation
    Inventors: Charles W. Jurgensen, Gregory A. Johnson, Kunal N. Taravade
  • Patent number: 6852242
    Abstract: A substrate processing apparatus has a chamber with a substrate transport to transport a substrate onto a substrate support in the chamber, a gas supply to provide a gas in the chamber, a gas energizer to energize the gas, and a gas exhaust to exhaust the gas. A controller operates one or more of the substrate support, gas supply, gas energizer, and gas exhaust, to set etching process conditions in the chamber to etch a plurality of substrates, thereby depositing etchant residues on surfaces in the chamber. The controller also operates one or more of the substrate support, gas supply, gas energizer, and gas exhaust, to set cleaning process conditions in the chamber to clean the etchant residues. The cleaning process conditions comprise a volumetric flow ratio of O2 to CF4 of from about 1:1 to about 1:40.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: February 8, 2005
    Inventors: Zhi-Wen Sun, Anbei Jiang, Tuo-Chuan Huang
  • Patent number: 6849193
    Abstract: An oxide etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. The invention uses a heavy perfluorocarbon, for example, hexafluorobutadiene (C4F6) or hexafluorobenzene (C6F6). The fluorocarbon together with a substantial amount of a noble gas such as argon is excited into a high-density plasma in a reactor which inductively couples plasma source power into the chamber and RF biases the pedestal electrode supporting the wafer. A more strongly polymerizing fluorocarbon such as difluoromethane (CH2F2) is added in the over etch to protect the nitride corner. Oxygen or nitrogen may be added to counteract the polymerization. The same chemistry can be used in a magnetically enhanced reactive ion etcher (MERIE) or with a remote plasma source.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: February 1, 2005
    Inventors: Hoiman Hung, Joseph P Caulfield, Hongqing Shan, Ruiping Wang, Gerald Zheyao Yin
  • Patent number: 6843858
    Abstract: A method of operating a substrate processing chamber. In one embodiment the method includes processing one or more substrates in the substrate processing chamber and subsequently cleaning the chamber using a dry cleaning process. This substrate processing and dry cleaning sequence is then repeated multiple times before chamber is further cleaned by flowing a cleaning gas into the chamber and forming a plasma within the chamber from the cleaning gas in an extended cleaning process. During the extended cleaning process the plasma is maintained within the chamber for a total of at least 5 minutes before the chamber is reused to process a substrate.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: January 18, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Patent number: 6824699
    Abstract: This invention relates to a method of heating an insulating layer, such as is found in semiconductor devices, in which a formation has been etched through a layer of resist comprising reactive etching the resist, inhibiting absorption of or removing water vapour and/or oxygen at the exposed surfaces of the etched formation and filling the formation with conductive metal in the absence of said water vapour and/or oxygen.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: November 30, 2004
    Assignee: Trikon Holdings Ltd.
    Inventor: Christopher David Dobson
  • Patent number: 6815365
    Abstract: A plasma etching method for etching a sample within an etching chamber having a sidewall, an exchangeable jacket which is held inside of the sidewall, and a heating mechanism proximate to top end of the exchangeable jacket for generating heat which radiates towards an inside of the etching chamber. The plasma etching method further including a step of evacuating the etching chamber by an evacuation system, a step of supplying an etching gas into the etching chamber, a step of generating a plasma for performing etching of the sample in the etching chamber, and a step of conducting a heating operation by the heating mechanism during an initial stage of the step of generating a plasma.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: November 9, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Toshio Masuda, Kazue Takahashi, Mitsuru Suehiro, Tetsunori Kaji, Saburo Kanai
  • Patent number: 6794301
    Abstract: Apparatus and methods for an improved plasma processing. A first power source alternates between high and low power cycles to produce and sustain a plasma, and a second power source alternates between high and low power cycles to accelerate ions toward the substrate being processed. Preferably, the power sources are synchronized such that the second power provides each high power cycle substantially during the time that the first power source provides each low power cycle. Commencement of each high power cycle provided by the second power source may be delayed for a period of time after each high power cycle provided by the first power source terminates. This approach allows electrons to cool off and accumulated charge on surface features of the substrate to dissipate before ions are accelerated toward the substrate for processing.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: September 21, 2004
    Assignee: Mattson Technology, Inc.
    Inventor: Stephen E. Savas
  • Patent number: 6793832
    Abstract: A wafer W is placed on a lower electrode 106 provided inside a processing chamber 102 of an etching apparatus 100 and a gas containing C4F8 is induced into the processing chamber 102. A controller 112 implements control to apply 27 MHz power to an upper electrode 114 from a plasma generating power supply 120 and to intermittently apply 800 KHz power to the lower electrode 106 from a biasing power supply 108. While the biasing power is on, an insulating film 202 constituted of SiO2 at the wafer W is etched, whereas a polymer (protective film) 208 is formed at a photoresist film 206 while the biasing power is off. Adopting the above method, contact holes achieving a specific shape can be formed by improving the selectivity of the insulating film relative to the photoresist film.
    Type: Grant
    Filed: April 19, 2001
    Date of Patent: September 21, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Saito, Kazuya Nagaseki
  • Patent number: 6787054
    Abstract: A process for etching a substrate and removing etch residue deposited on the surfaces in the etching chamber has two stages. In the first stage, an energized first process gas is provided in the chamber, and in the second stage, an energized second process gas is provided in the chamber. The energized first process gas comprises SF6 and Ar, the volumetric flow ratio of SF6 to other components of the first process gas being from about 5:1 to about 1:10. The energized second process gas comprises CF4 and Ar, the volumetric flow ratio of CF4 to other components of the second process gas being from about 1:0 to about 1:10.
    Type: Grant
    Filed: February 3, 2003
    Date of Patent: September 7, 2004
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Publication number: 20040168705
    Abstract: A method for removing a reducible contaminant, such as an oxide or organic material, from a surface of a material layer comprises contacting an exposed dielectric layer with one or more suppressant species. The exposed dielectric layer and the material layer are contacted with the reducing species. Contacting the exposed dielectric layer with the suppressant species suppresses reactions between the exposed dielectric layer and the reducing species. Contacting the dielectric layer with the suppressant species may prevent the reducing gas from increasing the dielectric constant of the dielectric layer.
    Type: Application
    Filed: March 4, 2004
    Publication date: September 2, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Bingxi Sun, David M. Pung, Ashish Bodke, Nety M. Krishna
  • Publication number: 20040129673
    Abstract: A method of oxidizing a substrate having area of about 30,000 mm2 or more. The surface is preferably comprised of silicon-containing materials, such as silicon, silicon germanium, silicon carbide, silicon nitride, and metal suicides. A mixture of oxygen-bearing gas and diluent gas normally non-reactive to oxygen, such as Ne, Ar, Kr, Xe, and/or Rn are ionized to create a plasma having an electron density of at least about 1e12 cm−3 and containing ambient electrons having an average temperature greater than about 1 eV. The substrate surface is oxidized with energetic particles, comprising primarily atomic oxygen, created in the plasma to form an oxide film of substantially uniform thickness. The oxidation of the substrate takes place at a temperature below about 700° C., e.g., between about room temperature, 20° C., and about 500° C.
    Type: Application
    Filed: January 7, 2003
    Publication date: July 8, 2004
    Applicants: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Michael Belyansky, Oleg Glushenkov, Andreas Knorr
  • Patent number: 6749770
    Abstract: A method of etching a platinum electrode layer disposed on a substrate to produce a semiconductor device including a plurality of platinum electrodes. The method comprises heating the substrate to a temperature greater than about 150° C., and etching the platinum electrode layer by employing a plasma of an etchant gas comprising nitrogen and a halogen (e.g. chlorine), and a gas selected from the group consisting of a noble gas (e.g. argon), BCl3, HBr, SiCl4 and mixtures thereof. The substrate may be heated in a reactor chamber having a dielectric window including a deposit-receiving surface having a surface finish comprising a peak-to-valley roughness height with an average height value of greater than about 1000 Å.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: June 15, 2004
    Inventors: Jeng H. Hwang, Chentsau Ying, Kang-Lie Chiang, Steve S. Y. Mak
  • Patent number: 6708700
    Abstract: A method of removing deposits from selected areas of a substrate-processing chamber comprising applying RF energy to a coil located around selected areas of the chamber is provided. Also provided is a substrate-processing chamber with improved cleaning properties having a coil capable of being coupled with an RF field disposed at selected areas of the chamber.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: March 23, 2004
    Assignee: ASM America
    Inventors: Ivo Raaijmakers, Franciscus B. Van Bilsen
  • Publication number: 20040050493
    Abstract: A method of exciting a plasma, wherein a gas is subjected to an electrical field generated by means of a plurality of electrodes. One electrode is formed by an outer metal pipe (1). This outer metal pipe (1) surrounds one or more inner metal pipes (2) which form the other electrode. The idea is to turn it to account that plasma is generated in one or more of the inner pipes. These pipes are easier to clean, and the cleaning process is therefore simplified considerably. To this should be added that the distance between the electrodes may now be increased considerably, thereby reducing the risk of breakdown between the electrodes.
    Type: Application
    Filed: September 8, 2003
    Publication date: March 18, 2004
    Inventor: Bjorn Winther-Jensen
  • Publication number: 20040045669
    Abstract: Plasma is generated in the interior of a vacuum chamber to process a high melting metal film formed on a substrate, while supplying gas into the vacuum chamber and simultaneously exhausting the interior of the vacuum chamber to control to a specified pressure, by supplying a high-frequency power of a 30 MHz to 3 GHz frequency to an antenna provided within the vacuum chamber in opposition to the substrate placed on a substrate electrode within the vacuum chamber, by supplying a high-frequency power of a 100 kHz to 20 MHz frequency different from the above frequency to the antenna.
    Type: Application
    Filed: February 4, 2003
    Publication date: March 11, 2004
    Inventors: Tomohiro Okumura, Yukihiro Maegawa, Hiroyuki Suzuki, Ichiro Nakayama
  • Patent number: 6692649
    Abstract: A plasma processing module for processing a substrate includes a plasma containment chamber having a feed gas inlet port capable of allowing a feed gas to enter the plasma containment chamber of the plasma processing module during the processing of the substrate. An inductively coupled source is used to energize the feed gas and striking a plasma within the plasma containment chamber. The specific configuration of the inductively coupled source causes the plasma to be formed such that the plasma includes a primary dissociation zone within the plasma containment chamber. A secondary chamber is separated from the plasma containment chamber by a plasma containment plate. The secondary chamber includes a chuck and an exhaust port. The chuck is configured to support the substrate during the processing of the substrate and the exhaust port is connected to the secondary chamber such that the exhaust port allows gases to be removed from the secondary chamber during the processing of the substrate.
    Type: Grant
    Filed: January 18, 2001
    Date of Patent: February 17, 2004
    Assignee: Lam Research Corporation
    Inventors: Wenli Z. Collison, Michael S. Barnes, Tuqiang O. Ni, Butch Berney, Wayne W. Vereb, Brian K. McMillin
  • Patent number: 6666982
    Abstract: To protect a dielectric window in an inductively coupled plasma reactor from depositions of coating or etched material from the plasma, a dielectric insert is placed inside of the chamber closely adjacent the window. Where a slotted shield inside of the window protects the window from deposition, but has slots through which some material can pass in a direction toward the window, the insert is placed between the window and the shield. The insert is formed of a material that is compatible with the process being carried out on a semiconductor wafer within the chamber. Where the window and shield are planar, an unprocessed wafer of the same type and material as the wafer being processed is used for the insert.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: December 23, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Publication number: 20030226503
    Abstract: An apparatus comprising a plasma chamber containing a plasma for a plasma-assisted material process upon a substrate; a shielding plate within the plasma chamber to actively direct ion flux to desired areas of the substrate; and a supporting structure to support the shielding plate within the chamber is disclosed.
    Type: Application
    Filed: March 24, 2003
    Publication date: December 11, 2003
    Inventors: Han-Ming Wu, He Long
  • Patent number: 6649076
    Abstract: The disclosed is a method and apparatus capable of certainly performing a plasma process such as isotropic plasma etching on the whole surface of a particle. A particle (2) is passed through a passage (3) in which inductive coupled plasma is generated and a plasma process is performed on the particle (2). In such a manner, the plasma process on the particle (2) can be performed on the whole surface of the particle (2) in a non-contact manner.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: November 18, 2003
    Assignee: Sony Corporation
    Inventor: Naoki Tamitani
  • Publication number: 20030205557
    Abstract: An apparatus for controlling the voltage applied to a shield interposed between an induction coil powered by a power supply via a matching network, and the plasma it generates, comprises a shield, a first feedback circuit, and a second feedback circuit. The power supply powers the shield. The first feedback circuit is connected to the induction coil for controlling the power supply. The second feedback circuit is connected to the shield for controlling the voltage of the shield. Both first and second feedback circuits operate at different frequency ranges. The first feedback circuit further comprises a first controller and a first sensor. The first sensor sends a first signal representing the power supplied to the inductive coil to the first controller. The first controller adjusts the power supply such that the power supplied to the inductor coil is controlled by a first set point. The second feedback circuit further comprises a second sensor, a second controller, and a variable impedance network.
    Type: Application
    Filed: May 30, 2003
    Publication date: November 6, 2003
    Applicant: LAM Research Corporation, a Delaware Corporation
    Inventors: Neil Benjamin, Andras Kuthi
  • Publication number: 20030201241
    Abstract: A tool for embossing high aspect ratio microstructures is provided, wherein the microstructures provide decreased surface reflection and increased transmission through an optical component. The tool is fabricated by a process that comprises etching columnar pits in a silicon substrate using inductively coupled plasma, followed by reactive ion etching of the columnar pits to create relatively pointed obelisks. The silicon substrate is then preferably rinsed prior to vapor depositing a conductive layer thereon. Finally, a metal is electroformed over the conductive layer to form the embossing tool. The embossing tool is then pressed against an optical coating, for example a polymer sheet, to create microstructures having aspect ratios from 1 to 5.
    Type: Application
    Filed: May 16, 2003
    Publication date: October 30, 2003
    Inventors: Alan B. Harker, Jeffrey F. DeNatale, Dennis R. Strauss
  • Publication number: 20030201249
    Abstract: A tool for embossing high aspect ratio microstructures is provided, wherein the microstructures provide decreased surface reflection and increased transmission through an optical component even at high incident angles. The tool is fabricated by a process that comprises anisotropic etching of columnar pits in a silicon substrate using inductively coupled plasma, followed by isotropic reactive ion etching of the columnar pits to create relatively pointed obelisks. The silicon substrate is then preferably rinsed to remove remaining photoresist prior to vapor depositing a conductive layer thereon. Finally, a metal is electroformed over the conductive layer to form the embossing tool. The embossing tool is then pressed against an optical coating, for example a polymer sheet, to create microstructures having aspect ratios from 1 to 5.
    Type: Application
    Filed: May 16, 2003
    Publication date: October 30, 2003
    Inventors: Alan B. Harker, Jeffrey F. DeNatale, Dennis R. Strauss
  • Publication number: 20030183599
    Abstract: A plasma processing method and a plasma processing system provide advantages of a high degree of selectivity, a large area processing capability and an enhanced precision level. A plasma processing method according to the invention is so designed that a pulse modulation power is supplied alternately to the plasma generating power supply and the substrate bias power supply by referring to the time taken by gas to diffuse from the center of electric discharge to the substrate. In a plasma processing system according to the invention, the plasma generating power supply and the substrate bias power supply are provided with modulation means for supplying a pulse modulation power alternately to the plasma generating section and the substrate electrode by referring to the time taken by gas to diffuse from the center of electric discharge to the substrate.
    Type: Application
    Filed: March 21, 2003
    Publication date: October 2, 2003
    Inventors: Masashi Kikuchi, Hitoshi Ikeda, Kiyoshi Kuwahara, Toshio Hayashi, Noriyuki Harashima, Takaei Sasaki
  • Patent number: 6624084
    Abstract: In plasma processing equipment having a vacuum processing chamber, a plasma generation means, a stage for loading a wafer to be processed in the vacuum processing chamber, an opposing electrode having an area almost equal to or wider than the aforementioned wafer which is installed opposite to the stage, and a bias power source for applying a high frequency bias to the wafer, a current path correction means is provided for correcting the current path part in the neighborhood of the outer periphery of the wafer among the high frequency current paths produced by the high frequency bias so as to be directed toward the wafer opposing surface of the opposing electrode.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: September 23, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Kenji Maeda, Yutaka Omoto, Ichiro Sasaki, Hironobu Kawahara
  • Publication number: 20030159782
    Abstract: An improved deposition baffle, that is provided to protect a dielectric window from conductive deposits, is provided in high-density-plasma apparatus having slots with features therein which spatially distribute the transmitted RF power density through a baffle. The features form connections and current paths across the slot boundaries on the side of the baffle that faces the plasma, away from the window through which a coil couples RF power, thereby minimizing interference with the inductive coupling. In one embodiment, bridges across the slots on the plasma side of the baffle improve the flux distribution through the baffle. In another embodiment, blades in and parallel to the slots, on the coil side of the baffle but which are supported by connections on the plasma side of the baffle, reduce the formation of plasma in the slots and prevents resputtering of material from the slot boundaries.
    Type: Application
    Filed: February 22, 2002
    Publication date: August 28, 2003
    Applicant: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Patent number: 6589437
    Abstract: A method and an apparatus for actively controlling the density of the species generated in a plasma reactor using time-modulation. The method of the present invention includes providing an inductively coupled plasma reactor, irradiating a process gas and time-modulating an energy emission from the RF applicator in order to achieve a desired density of species within a plasma. The time-modulation includes varying an on-time and an off-time of the modulating signal. Moreover, a short on-time and a longer off-time is preferred if the degree of dissociation is to be minimized. The apparatus of the present invention, in which the above method may be carried out, includes an all-semiconductor chamber having a signal modulator. Moreover, the apparatus includes a reactor having various embodiments of a solenoidal antenna and a signal modulator.
    Type: Grant
    Filed: March 5, 1999
    Date of Patent: July 8, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Kenneth S. Collins
  • Patent number: 6585907
    Abstract: An inductive-coupled plasma apparatus employs a shield to reduce sputter contamination. A method for manufacturing the shield is included. An apparatus for generating a high-density plasma includes a process chamber having a dielectric window located along a plane, a coil located outside the process chamber proximate to the dielectric window and substantially parallel to the plane, and a shield located between the coil and the dielectric window. The shield has multiple openings, wherein the multiple openings of the shield are disposed at locations corresponding to areas between the turns of the coil.
    Type: Grant
    Filed: April 23, 2001
    Date of Patent: July 1, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-Hee Shin, Jin-Man Kim, Baik-Soon Choi, Hun Cha
  • Publication number: 20030116277
    Abstract: A semiconductor etching apparatus and a method for etching semiconductor devices using the apparatus. The semiconductor etching apparatus includes a chamber for accommodating a wafer, a radical source for supplying a radical into the chamber, a beam source for supplying ion beams or plasma into the chamber, a wafer stage for supporting and holding the wafer accommodated by the chamber, and a neutralizer for neutralizing charge within the chamber ionized by the ion beams, plasma or the radical. The method of etching semiconductor devices includes the steps of forming a reaction layer on the surface of a semiconductor wafer through radical absorption, and etching the surface of the semiconductor wafer by desorbing the reaction layer formed on the surface of the semiconductor wafer.
    Type: Application
    Filed: February 12, 2003
    Publication date: June 26, 2003
    Inventors: Kyeong-Koo Chi, Seung-Pil Chung
  • Publication number: 20030111442
    Abstract: An inductively coupled plasma etching apparatus includes a chamber for generating a plasma therein. The chamber is defined by walls of a housing. A coil for receiving high frequency (RF) power is disposed adjacent to and outside of one of the walls of the housing. A metal plate is disposed adjacent to and outside of the wall of the housing that the coil is disposed adjacent to. The metal plate is positioned in a spaced apart relationship between the coil and the wall of the housing and has radial slits formed therein that extend transversely to the coil. A connector electrically connects the metal plate to the coil. A method for controlling an inner surface of a wall defining a chamber in which a plasma is generated is also described.
    Type: Application
    Filed: January 28, 2003
    Publication date: June 19, 2003
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Shu Nakajima
  • Publication number: 20030111438
    Abstract: A method including in a wafer processing environment, introducing a liquid via a carrier gas, and separate from the liquid, introducing a first gas comprising ozone and a legacy amount of oxygen and a second gas comprising an effective amount of oxygen to modify a process operation. A system including a chamber, a liquid source, a first gas source, and a second gas source, a controller configured to control the introduction into the chamber of a liquid from the liquid source, a first gas comprising ozone and a legacy amount of oxygen from the first source, a second gas comprising oxygen from the second gas source, and a memory coupled to the controller comprising a machine-readable medium having a program embodied therein for controlling the second gas to introduce an effective amount of oxygen into the chamber to modify a process operation.
    Type: Application
    Filed: December 18, 2001
    Publication date: June 19, 2003
    Inventors: Kevin M. Mukai, Shankar Chandran
  • Patent number: 6576152
    Abstract: In a dry etching method for etching a structure obtained by successively depositing, on a substrate, a gate insulating film, a silicon base film, a tungsten film or an alloy film containing tungsten, the dry etching includes a first process of dry-etching the tungsten film or the alloy film including tungsten, and a second process of dry-etching the silicon base film, and the first process employs, as an etching gas, a gas mixture obtained by mixing O2 gas into a gas including at least C and F, with the flow ratio of the O2 gas being 10˜50% by volume percentages. This dry etching method realizes highly-precise dry etching by which a vertical configuration of the poly-metal structure is obtained, and the selection ratio of W with respect to poly-Si can be controlled and, moreover, penetration through the underlying gate oxide film is prevented.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Tetsuya Matsutani
  • Publication number: 20030089680
    Abstract: Disclosed is a method and apparatus for the etching of a thin film upon a photomask. The etching is carried out in a reactor via an inductively coupled pulsed plasma. Pulsing of the plasma is achieved by regulating the time period (or duty cycle) in which the plasma is generated. It has been found that by decreasing the duty cycle, high etch selectively can be achieved and feature sizes can be faithfully maintained.
    Type: Application
    Filed: October 22, 2002
    Publication date: May 15, 2003
    Inventors: David J. Johnson, Shinzo Onishi, Christopher Constantine
  • Publication number: 20030089681
    Abstract: A method for controlling the voltage distribution of the standing wave impressed upon the coil of an inductively coupled plasma generator includes the steps of impressing a radio frequency voltage across the coil to establish a standing wave thereacross. A voltage profile is selected for the standing wave so as to control the location and amount of capacitive coupling. A circuit parameter is controlled to achieve the selected voltage profile. Proper selection of the voltage profile enhances process capabilities, decreases the time between cleans, minimizes component wear, and minimizes cleaning time. An apparatus for carrying out the disclosed method is also disclosed.
    Type: Application
    Filed: December 20, 2002
    Publication date: May 15, 2003
    Inventors: Guy Blalock, Kevin G. Donohoe
  • Patent number: 6558564
    Abstract: In the present invention, electron temperature is controlled by modifying the power delivered to the plasma by inducing or enhancing natural instabilities between the plasma and the power source. As a result, no pulse modulation of the RF power or RF generator is required. The instability is enhanced until the desired reduction in electron temperature has been achieved. In accordance with the invention, there are several modes for inducing such a natural instability.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: May 6, 2003
    Assignee: Applied Materials Inc.
    Inventors: Peter K. Loewenhardt, Wade Zawalski
  • Publication number: 20030075522
    Abstract: The present invention concerns a procedure for the production of a plasma that is at least co-produced in the vacuum chamber (1a) of a vacuum recipient (1) of a device suitable for plasma processing with at least one induction coil (2) carrying an alternating current, where the gas used to produce the plasma is fed into the vacuum chamber (1a) through at least one inlet (3) and the vacuum chamber (1a) is subject to the pumping action of at least one pump arrangement (4), and where a possibly pulsed direct current is also applied to the induction coil (2) in order to influence the plasma density.
    Type: Application
    Filed: September 27, 2002
    Publication date: April 24, 2003
    Applicant: Unaxis Balzers Aktiengesellschaft
    Inventors: Jurgen Weichart, Dominik Wimo Amman, Siegfried Krassnitzer
  • Publication number: 20030066817
    Abstract: A dry etching method and apparatus are provided which are capable of performing deep etching fabrication rapidly on a substrate of InP-based compound semiconductor. Etching gas is fed into and exhaust of a reaction chamber is performed so that inside of the chamber is controlled to be under a predetermined pressure, plasma is then generated in the reaction chamber by application of a 13.56 MHz or above high-frequency power to a flat spiral discharge coil or a flat antenna that is provided so as to face an InP-based compound semiconductor substrate placed on a substrate electrode in the reaction chamber, and the substrate is etched while a density of the plasma and ion energy that reaches the substrate are controlled.
    Type: Application
    Filed: July 18, 2002
    Publication date: April 10, 2003
    Inventors: Hiroshi Tanabe, Tomohiro Okumura, Hiroshi Imai
  • Patent number: 6528332
    Abstract: A method and system for deprocessing a semiconductor device is disclosed. The semiconductor device has a plurality of structures and an intermetal dielectric layer. The method and system include anisotropically plasma etching the intermetal dielectric layer at an oblique angle and rotating the semiconductor device during the plasma etch to reduce or eliminate build up of a material on the plurality of structures due to the plasma etch of the intermetal dielectric layer. In another aspect the method and system include a semiconductor device deprocessed using the method in accordance with the present invention. In another aspect, the present invention includes a system for deprocessing the semiconductor device.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: March 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mehrdad Mahanpour, Mohammad Massoodi, Jose Hulog
  • Patent number: 6527968
    Abstract: A process for etching a substrate 25 in an etching chamber 105, and simultaneously removing etch residue deposited on the surfaces of the walls 110 and components of the etching chamber 105. In one version, a two-stage method of opening a nitride mask layer on the substrate includes a first stage of providing a highly chemically reactive process gas in the chamber 105 to etch the nitride layer 32 and/or an underlying oxide layer 34, and a second stage of providing a less chemically reactive process gas in the chamber to etch the nitride layer 32 and/or the oxide layer 34 at a slower rate than the first stage. The first and second stage process gases may each comprise a fluorine containing gas, with the fluorine ratio of the first gas higher than the fluorine ratio of the second gas.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 4, 2003
    Assignee: Applied Materials Inc.
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Patent number: 6511608
    Abstract: Because of environmental pollution prevention laws, PFC (perfluorocarbon) and HFC (hydrofluorocarbon), both etching gases for silicon oxide and silicon nitride films, are expected to be subjected to limited use or become difficult to obtain in the future. An etching gas containing fluorine atoms is introduced into a plasma chamber. In a region where plasma etching takes place, the fluorine-containing gas plasma is made to react with solid-state carbon in order to produce molecular chemical species such as CF4, CF2, CF3 and C2F4 for etching. This method assures a high etch rate and high selectivity while keeping a process window wide.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: January 28, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Masahito Mori, Shinichi Tachi, Kenetsu Yokogawa
  • Patent number: 6506686
    Abstract: In a plasma processing apparatus that has a vacuum chamber, a process gas supply means of supply gas to a processing chamber, an electrode to hold a sample inside said vacuum chamber, a plasma generator installed in said vacuum chamber opposite to said sample, and a vacuum exhaust system to decrease pressure of said vacuum chamber, a bias voltage of Vdc=−300 to −50 V is applied and the surface temperature of said plate ranges from 100 to 200° C. In addition, the surface temperature fluctuation of the silicon-made plate in said plasma processing apparatus is kept within ±25° C.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: January 14, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Toshio Masuda, Kazue Takahashi, Ryoji Fukuyama, Tomoyuki Tamura
  • Patent number: 6503410
    Abstract: A method for controlling the voltage distribution of the standing wave impressed upon the coil of an inductively coupled plasma generator includes the steps of impressing a radio frequency voltage across the coil to establish a standing wave thereacross. A voltage profile is selected for the standing wave so as to control the location and amount of capacitive coupling. A circuit parameter is controlled to achieve the selected voltage profile. Proper selection of the voltage profile enhances process capabilities, decreases the time between cleans, minimizes component wear, and minimizes cleaning time. An apparatus for carrying out the disclosed method is also disclosed.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: January 7, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Guy Blalock, Kevin G. Donohoe
  • Publication number: 20030000913
    Abstract: An oxide etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. The invention uses a heavy perfluorocarbon, for example, hexafluorobutadiene (C4F6) or hexafluorobenzene (C6F6). The fluorocarbon together with a substantial amount of a noble gas such as argon is excited into a high-density plasma in a reactor which inductively couples plasma source power into the chamber and RF biases the pedestal electrode supporting the wafer. A more strongly polymerizing fluorocarbon such as difluoromethane (CH2F2) is added in the over etch to protect the nitride corner. Oxygen or nitrogen may be added to counteract the polymerization. The same chemistry can be used in a magnetically enhanced reactive ion etcher (MERIE) or with a remote plasma source.
    Type: Application
    Filed: May 13, 2002
    Publication date: January 2, 2003
    Inventors: Hoiman Hung, Joseph P. Caulfield, Hongqing Shan, Ruiping Wang, Gerald Zheyao Yin
  • Patent number: 6497826
    Abstract: A method for producing stable atmospheric pressure glow discharge plasmas using RF excitation and the use of said plasmas for modifying the surface layer of materials. The plasma generated by this process and its surface modification capability depend on the type of gases used and their chemical reactivity. These plasmas can be used for a variety of applications, including etching of organic material from the surface layer of inorganic substrates, as an environmentally benign alternative to industrial cleaning operations which currently employ solvents and degreasers, as a method of stripping paint from surfaces, for the surface modification of composites prior to adhesive bonding operations, for use as a localized etcher of electronic boards and assemblies and in microelectronic fabrication, and for the sterilization of tools used in medical applications.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: December 24, 2002
    Assignee: The Boeing Company
    Inventors: Kin Li, Minas Tanielian