Specific Configuration Of Electrodes To Generate The Plasma Patents (Class 216/71)
  • Patent number: 8163652
    Abstract: A plasma processing method using plasma includes steps of applying current to a coil and introducing gas into a processing chamber, applying a bias power that does not generate plasma, applying a source power to generate plasma so that a plasma density distribution is high above an outer circumference of a semiconductor wafer and low above a center of the semiconductor wafer, and forming a shape of a sheath layer having a positive ion space charge directly above the semiconductor wafer so as to be convex in an upper direction from the semiconductor wafer, thereby eliminating foreign particles trapped in a boundary of the sheath layer having a positive ion space charge directly above the semiconductor wafer, generating plasma for processing the semiconductor wafer under a condition different from the conditions of the previous steps.
    Type: Grant
    Filed: August 27, 2008
    Date of Patent: April 24, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenji Maeda, Tomoyuki Tamura, Hiroyuki Kobayashi, Kenetsu Yokogawa, Tadamitsu Kanekiyo
  • Publication number: 20120080408
    Abstract: A substrate processing method using a substrate processing apparatus includes a first step and a second step. The first step is to apply a negative voltage pulse from a pulsed power supply to be included in the apparatus. The second step is to apply floating potential for an interval of time between the negative voltage pulse and a positive voltage pulse from the pulsed power supply subsequent to the negative voltage pulse. In addition, the apparatus includes a chamber, a first electrode, a second electrode, an RF power supply, and the pulsed power supply. The second electrode is provided so that the second electrode faces the first electrode to hold a substrate. The RF power supply applies an RF voltage having a frequency of 50 MHz or higher to the second electrode. The pulsed power supply repeatedly applies a voltage waveform with the RF voltage to the second electrode.
    Type: Application
    Filed: March 18, 2011
    Publication date: April 5, 2012
    Inventors: Akio UI, Hisataka Hayashi
  • Patent number: 8138096
    Abstract: In a plasma etching method, a substrate including an underlying film, an insulating film and a resist mask is plasma etched to thereby form a number of holes in the insulating film including a dense region and a sparse region by using a parallel plate plasma etching apparatus for applying a plasma-generating high frequency electric power to a space between an upper and a lower electrode and a biasing high frequency electric power to the lower electrode. The plasma etching method includes mounting the substrate on a mounting table; supplying a first process gas containing carbon and fluorine to form the holes in the insulating film to a depth close to the underlying film; and supplying a second process gas including an inert gas and another gas contain carbon and fluorine to have the holes reach the underlying film while applying a negative DC voltage to the upper electrode.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Ryoichi Yoshida
  • Patent number: 8133325
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 13, 2012
    Assignee: ULVAC, Inc.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Patent number: 8124539
    Abstract: A plasma processing apparatus having a focus ring, enables the efficiency of cooling of the focus ring to be greatly improved, while preventing an increase in cost thereof. The plasma processing apparatus is comprised of a susceptor which has an electrostatic chuck and the focus ring. A wafer W to be subjected to plasma processing is mounted on the electrostatic chuck. The focus ring has a dielectric material portion and a conductive material portion. The dielectric material portion forms a contact portion disposed in contact with the electrostatic chuck. The conductive material portion faces the electrostatic chuck with the dielectric material portion therebetween.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: February 28, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shosuke Endoh, Noriyuki Iwabuchi, Shigeaki Kato, Tomoya Okubo, Jun Hirose, Koichi Nagakura, Chishio Koshimizu, Kazuki Denpoh
  • Publication number: 20120024819
    Abstract: According to one embodiment, a plasma processing apparatus includes a first electrode, a second electrode, a dielectric member, and a control unit. Plasma is generated between the first electrode and the second electrode. The dielectric member is provided between the first electrode and the second electrode. The control unit is configured to change relative dielectric constant of the dielectric member in a plane crossing a first direction from the first electrode to the second electrode.
    Type: Application
    Filed: March 18, 2011
    Publication date: February 2, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Ryo SUEMITSU
  • Patent number: 8105953
    Abstract: A semiconductor manufacturing apparatus includes a chamber, a gas supplier, a vacuum pump, an electrode, a conductive knitted wire mesh and a radio frequency power supply. The electrode is placed outside of the chamber and fixed to the chamber. The gas supplier supplies gas into the chamber. The vacuum pump exhausts the chamber. The radio frequency power supply supplies radio frequency power to the electrode through the conductive knitted wire mesh.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: January 31, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Keiichirou Takehara
  • Publication number: 20120012557
    Abstract: A continuous method for making a nanostructured surface comprises (a) placing a substrate comprising a nanoscale mask on a cylindrical electrode in a vacuum vessel, (b) introducing etchant gas to the vessel at a predetermined pressure, (c) generating plasma between the cylindrical electrode and a counter-electrode, (d) rotating the cylindrical electrode to translate the substrate, and (e) anisotropically etching a surface of the substrate to provide anisotropic nanoscale features on the surface.
    Type: Application
    Filed: December 29, 2009
    Publication date: January 19, 2012
    Inventors: Moses M. David, Ta-Hua Yu
  • Publication number: 20110303643
    Abstract: The substrate processing apparatus includes a susceptor, which is connected to a high frequency power source and on which a substrate is held, an upper electrode plate facing the susceptor, and a processing space PS formed between the susceptor and the upper electrode, to perform a plasma etching process on the wafer by using plasma. The substrate processing apparatus includes a dielectric plate which covers a surface of the upper electrode plate, the surface of which faces the processing space PS, the upper electrode plate is divided into an inner electrode facing a center portion of the wafer and an outer electrode facing a circumferential portion of the wafer, the inner electrode and the outer electrode are electrically insulated from each other, and a second variable DC power source applies a positive DC voltage to the inner electrode and the outer electrode is electrically grounded.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 15, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuhiro WADA, Makoto KOBAYASHI, Hiroshi TSUJIMOTO, Jun TAMURA, Mamoru NAOI
  • Patent number: 8075790
    Abstract: A film removal method and apparatus for removing a film from a substrate are disclosed. The method comprises the steps of disposing a plasma generator and a sucking apparatus over the substrate, projecting a plasma beam from the plasma generator onto the film obliquely, disposing the sucking apparatus on a reflection path of plasma projected by the plasma generator, and sucking a by-product of an incomplete plasma reaction occurring to the film so as to keep a surface of the substrate clean, with a view to overcoming the drawbacks of deposition of the by-product which results from using the plasma as a surface cleansing means under atmospheric conditions.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: December 13, 2011
    Assignee: Industrial Technology Research Institute
    Inventors: Chia-Chiang Chang, Chin-Jyi Wu, Chen-Der Tsai, Chun-Hung Lin
  • Patent number: 8076247
    Abstract: A method is provided for processing a workpiece in a plasma reactor chamber. The method includes coupling, to a plasma in the chamber, power of an RF frequency via a ceiling electrode and coupling, to the plasma, power of at least approximately the same RF frequency via a workpiece support electrode. The method also includes providing an edge ground return path. The method further includes adjusting the proportion between (a) current flow between said electrodes and (b) current flow to the edge ground return path from said electrodes, to control plasma ion density distribution uniformity over the workpiece.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Douglas A. Buchberger, Jr., Shahid Rauf, Kallol Bera, Lawrence Wong, Walter R. Merry, Matthew L. Miller, Steven C. Shannon, Andrew Nguyen, James P. Cruse, James Carducci, Troy S. Detrick, Subhash Deshmukh, Jennifer Y. Sun
  • Patent number: 8052799
    Abstract: An apparatus and a method for operating the same. The method includes providing an apparatus which includes a chamber, wherein the chamber includes first and second inlets, an anode and a cathode structures in the chamber, and a wafer on the cathode structure. A cleaning gas is injected into the chamber via the first inlet. A collecting gas is injected into the chamber via the second inlet. The cleaning gas when ionized has a property of etching a top surface of the wafer resulting in a by-product mixture in the chamber. The collecting gas has a property of preventing the by-product mixture from depositing back to the surface of the wafer.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Edward Crandal Cooney, III, William Joseph Murphy, Anthony Kendall Stamper, David Craig Strippe
  • Publication number: 20110253674
    Abstract: The present invention relates to an inductively coupled plasma processing chamber and method for a cylindrical workpiece with a three-dimensional profile, and more particularly to an inductively coupled plasma processing reactor and method for a cylindrical workpiece with a three-dimensional profile, in which the workpiece serving as an internal RF antenna is connected to an RF power source through an impedance matching network at one end, and a terminating capacitor at another end so as to achieve low plasma contamination, confine dense uniform plasma in the substrate vicinity and suppress secondary electrons emitted from the substrate, and a plasma process can be applied to a 3-D linear semiconductor device, a metal, glass, ceramic or polymer substrate having planar or 3-D structured micro or nano patterns, and the like.
    Type: Application
    Filed: September 29, 2008
    Publication date: October 20, 2011
    Applicants: New Optics, Ltd., Korea Electrotechnology Research Institute
    Inventors: Sung Il Chung, S.A. Nikiforov, Hyeon Seok Oh, Pan Kyeom Kim, Hyeon Taeg Gim, Jeong Woo Jeon
  • Publication number: 20110240599
    Abstract: A plasma processing apparatus for generating a plasma in a plasma processing space in a processing chamber and plasma-processing a target object includes a plasma-exciting high frequency power supply for applying a plasma-exciting high frequency power. Further, the plasma processing apparatus includes at least one of a potential-controlling high frequency power supply for applying a potential-controlling high frequency power having a frequency lower than that of the plasma-exciting high frequency power and a DC power supply for applying a DC voltage; and a mounting table for mounting thereon a target object. Furthermore, the plasma processing apparatus includes an auxiliary electrode, provided at a position outer side of the target object mounted on the mounting table while facing the mounting table, connected to at least one of the potential-controlling high frequency power supply and the DC power supply.
    Type: Application
    Filed: March 31, 2011
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masanobu HONDA
  • Patent number: 7989034
    Abstract: A method for continuous atmospheric plasma treatment of an electrically insulating workpiece. The workpiece is arranged at a distance beneath at least one high-voltage electrode which extends across a direction of movement. The electrode and the workpiece are set in motion relative to one another. The high voltage being applied to the high-voltage electrode, preferably is in the form of an AC voltage. A first space situated between the high-voltage electrode and the workpiece is filled with a first atmosphere and a second space on the side of the workpiece facing away from the high-voltage electrode is filled with a second atmosphere that is different from the first atmosphere. The second space is adjacent to a back side of the workpiece. The choice of high voltage and of the first and second atmospheres is made in such a way that a plasma discharge is ignited in the second atmosphere.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: August 2, 2011
    Assignee: Softal Corona & Plasma GmbH
    Inventors: Eckhard Prinz, Peter Palm, Frank Forster
  • Patent number: 7967996
    Abstract: A process is provided for removing polymer from a backside of a workpiece and/or photoresist from a front side of the workpiece. For backside polymer removal, the wafer is positioned near the ceiling to above a localized or remote plasma source having a side outlet through the sidewall of the chamber, and backside polymer is removed by rotating the workpiece while flowing plasma by-products from the side outlet to the wafer backside. For front side photoresist removal, the wafer is positioned away from the ceiling and below the side outlet of the localized plasma source, and front side photoresist is remove by rotating the workpiece while flowing plasma by-products from the side outlet to the wafer front side.
    Type: Grant
    Filed: May 8, 2007
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Andrew Nguyen, Shahid Rauf, Ajit Balakrishna, Valentin N. Todorow, Kartik Ramaswamy, Martin Jeffrey Salinas, Imad Yousif, Walter R. Merry, Ying Rui, Michael R. Rice
  • Patent number: 7964511
    Abstract: A plasma ashing method is used for removing a patterned resist film in a processing chamber after etching a portion of a low-k film from an object to be processed in the processing chamber by using the patterned resist film as a mask. The method includes a first step of supplying a reaction product removal gas including at least CO2 gas into the processing chamber, generating plasma of the reaction product removal gas by applying a high frequency power for the plasma generation, and removing reaction products deposited on an inner wall of the processing chamber; and a second step of supplying an ashing gas into the processing chamber, generating plasma of the ashing gas by applying a high frequency power for the plasma generation, and removing the resist film.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: June 21, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Naotsugu Hoshi
  • Patent number: 7955514
    Abstract: A plasma processing apparatus having a processing chamber and a sample base, and processing a sample by using plasma generated inside the processing chamber, the processing chamber being located inside a vacuum container, the sample base being located inside the processing chamber, the sample being mounted on the sample base, the plasma processing apparatus including a component member configuring inner-side wall surface of the processing chamber, and having a dielectric portion on the inner-side wall surface, an exhaustion unit for exhausting the inside of the processing chamber, and an electric-field supply unit for supplying an electric field to the component member in a state where the plasma will not be generated inside the processing chamber, wherein magnitude of the electric field supplied from the electric-field supply unit is changed rapidly while exhausting the inside of the processing chamber by the exhaustion unit.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: June 7, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazue Takahashi, Hitoshi Tamura, Motohiro Tanaka, Motohiko Yoshigai
  • Publication number: 20110089142
    Abstract: Method and apparatus for treatment of a substrate surface (1) using an atmospheric pressure plasma. The method comprises providing an atmospheric pressure plasma in a treatment space (5) between a first electrode (2) and a second electrode (3), providing a substrate (1) in contact with the first electrode (2) in the treatment space (5), and applying a plasma generating power to the first and second electrode (2, 3). The first electrode (2) has a predefined structure of insulating areas (7) and conductive areas (8) for plasma treatment of surface areas of the substrate (1) corresponding to the areas in contact with the conductive areas (8) of the first electrode (2).
    Type: Application
    Filed: February 19, 2009
    Publication date: April 21, 2011
    Inventors: Bruno Alexander Korngold, Hindrik Willem De Vries, Eugen Aldea
  • Publication number: 20110049102
    Abstract: A remote plasma source comprises a first plate-like electrode (7s) and a second plate-like electrode (7b) which are arranged in parallelism and mutually electrically DC isolated. The two electrodes (7s, 7b) are operationally connected to an Rf generator (11). The first electrode (7s) has a surface which is freely exposed to a substrate holder (3) and has a pattern of through-openings (19) distributed along its surface extent.
    Type: Application
    Filed: April 30, 2009
    Publication date: March 3, 2011
    Applicant: OERLIKON TRADING AG, TRUEBBACH
    Inventors: Ulrich Kroll, Boris Legradic
  • Publication number: 20110049101
    Abstract: An arrangement for controlling bevel etch rate during plasma processing within a processing chamber. The arrangement includes a power source and a gas distribution system. The arrangement also includes a lower electrode, which is configured at least for supporting a substrate. The arrangement further includes a top ring electrode positioned above the substrate and a bottom ring electrode positioned below the substrate. The arrangement yet also includes a first match arrangement coupled to the top ring electrode and configured at least for controlling current flowing through the top ring electrode to control amount of plasma available for etching at least a part of the substrate top edge. The arrangement yet further includes a second match arrangement configured to control the current flowing through the bottom ring electrode to control amount of plasma available for at least etching at least a part of the substrate bottom edge.
    Type: Application
    Filed: September 2, 2009
    Publication date: March 3, 2011
    Inventors: Eller Y. Juco, Neungho Shin, Yunsang Kim, Andrew Bailey
  • Publication number: 20110031217
    Abstract: A plasma processing apparatus includes: a processing chamber that accommodates a substrate therein; a lower electrode positioned within the processing chamber and serving as a mounting table; an upper electrode positioned to face the lower electrode within the processing chamber; a first high frequency power supply that applies high frequency power for plasma generation of a first frequency to the lower electrode or the upper electrode; a second high frequency power supply that applies high frequency power for ion attraction of a second frequency lower than the first frequency to the lower electrode; at least one bias distribution control electrode positioned at least in a peripheral portion above the lower electrode; and at least one bias distribution control power supply that applies an AC voltage or a square wave voltage of a third frequency lower than the second frequency to the at least one bias distribution control electrode.
    Type: Application
    Filed: August 2, 2010
    Publication date: February 10, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Shinji Himori
  • Publication number: 20110006040
    Abstract: Apparatus and method for plasma-based processing well suited for deposition, etching, or treatment of semiconductor, conductor or insulating films. Plasma generating units include one or more elongated electrodes on the processing side of a substrate and a neutral electrode proximate the opposite side of the substrate. Gases may be injected proximate a powered electrode which break down electrically and produce activated species that flow toward the substrate area. This gas then flows into an extended process region between powered electrodes and substrate, providing controlled and continuous reactivity with the substrate at high rates with efficient utilization of reactant feedstock. Gases are exhausted via passages between powered electrodes or electrode and divider.
    Type: Application
    Filed: July 8, 2010
    Publication date: January 13, 2011
    Inventors: Stephen Edward Savas, Carl Galewski, Allan B. Wiesnoski, Sai Mantripragada, Sooyun Joh
  • Patent number: 7858053
    Abstract: In the bevel etching apparatus relating to the present invention, a substrate is inserted between electrically connected electrodes. A high-frequency power source is connected to the electrodes, and ground potential is applied to a support unit that supports the substrate. Gas (atmosphere) is supplied to the gap between the electrodes and the application of the high-frequency electric power to the electrodes causes the generation of atmospheric-pressure glow discharge between the electrode and the substrate. Bevel etching is performed by rotating the substrate along the circumferential direction in this condition. According to this construction, the bevel etching can be simultaneously performed to the front surface, the rear surface and the side of the substrate without causing any configuration change in the substrate.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: December 28, 2010
    Assignee: Panasonic Corporation
    Inventor: Shin-ichi Imai
  • Patent number: 7842190
    Abstract: A plasma etching method includes the step of etching a lower organic material film by using an upper organic material film and an intermediate layer as a mask in a processing chamber of a plasma etching apparatus, while using an etching gas made up of a gaseous mixture including an O2 gas and a carbon-containing compound gas which has a carbon atom in a molecule, to thereby transfer a pattern of the intermediate layer to the lower organic material film. A ratio of a flow rate of the carbon-containing compound gas to a total flow rate of the etching gas ranges from about 40 to 99%.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: November 30, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Akinori Kitamura
  • Patent number: 7833429
    Abstract: A plasma processing method for a plasma processing apparatus which includes, a gas ring, a bell jar, an antenna, a sample table, a Faraday shield, and an RF power source circuit for supplying a power source voltage to the antenna and the Faraday shield. The RF power source circuit includes an RF power source, an antenna connected with the RF power source, a resonance circuit connected in series with the antenna and supplying a resonance voltage, a detection circuit for detecting the resonance voltage of the resonance circuit, and a comparator circuit for comparing the resonance voltage detected by the detection circuit with a predetermined set value. A RF bias voltage is adjusted based on the result of comparison by the comparison circuit.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: November 16, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoji Nishio, Ken Yoshioka, Saburou Kanai, Tadamitsu Kanekiyo, Hideki Kihara, Koji Okuda
  • Patent number: 7824520
    Abstract: In the case of generating plasma under atmospheric pressure, the particle generated due to generation of high-density plasma is to be a cause of a defect such as a point defect or a line defect of a display portion in a display device. The present invention is offered in view of the above situation, and provides a plasma treatment apparatus for suppressing generation of a particle. According to the present invention, plasma is generated in a limited minimum region to be treated by a plasma treatment over a substrate to be treated. Generation of a particle is suppressed to a minimum by providing a plurality of plasma generation units generating minimum plasma having a similar size as the limited minimum region, changing a relative position of the plurality of plasma generation units and the substrate to be treated, and performing a plasma treatment to a limited predetermined region.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: November 2, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Osamu Nakamura
  • Publication number: 20100243609
    Abstract: Uniformity of plasma density distribution and process characteristics is improved by greatly improving performance and the degree of freedom for controlling the plasma density distribution. A capacitively coupled plasma processing apparatus includes a plasma density distribution controller, installed in a chamber lower room, for controlling plasma density distribution on a susceptor. The plasma density distribution controller includes a conductive plate (first conductor) which is placed under a rear surface of the susceptor at a certain position to face the susceptor and a conductive rod (second conductor) which supports the conductive plate upward and is electrically grounded. An upper end (first connecting portion) of the conductive rod is fixed to a certain portion of a bottom surface of the conductive plate, and a lower end (second connecting portion) of the conductive rod is fixed to or is in contact with a bottom wall of a chamber.
    Type: Application
    Filed: March 29, 2010
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Naohiko Okunishi, Hironobu Misawa, Hidehito Soeta
  • Patent number: 7780866
    Abstract: A method for processing a workpiece in a plasma reactor. The method comprises constraining plasma in the chamber away from the floor of the pumping annulus, providing an annular baffle while compensating for asymmetry of gas flow attributable to the pumping port, and providing a gas flow equalizer below the baffle having an eccentrically shaped opening. The method further includes modifying the radial distribution of plasma ion density and providing a magnetic plasma steering field having an edge high plasma ion density distribution tendency. The method further comprises locating the baffle at a sufficient distance below the workpiece to provide an edge low plasma ion density distribution tendency that compensates the edge high plasma ion density distribution tendency of the magnetic plasma steering field.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Matthew L. Miller, Daniel J. Hoffman, Steven C. Shannon, Michael Kutney, James Carducci, Andrew Nguyen
  • Patent number: 7776407
    Abstract: The present invention related to a method for surface treatment and a method for forming fine wiring and more particularly, to a method for surface treatment of a substrate, including: preparing a substrate on which a fine wiring is to be formed; and treating the surface of the substrate with a fluorine containing liquid having a low boiling point, and a method for forming fine wiring using the same method. According to the present invention, not only the spreading of ink droplets but also the deterioration of the interface adhesion is avoided.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: August 17, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Hyun-Chul Jung, Jae-Woo Joung
  • Patent number: 7776226
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a clean room by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: July 30, 2009
    Date of Patent: August 17, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Sang Kim, Gyu-Chan Jeoung, Gyu-hwan Kwag
  • Patent number: 7776227
    Abstract: A method of depositing or etching a micro- or nano-scale pattern on a work piece is disclosed, including the steps of: (a) placing the work piece in an electrochemical reactor in close proximity to a patterned tool; (b) connecting the work piece such that it is the anode if is to be etched or the cathode if it is to be deposited, and the patterned tool such that it is the counter electrode; (c) pumping electrolytic fluid necessary for the electrolytic operation of the cell formed between the two electrodes; and (d) applying a current across the electrodes to etch or deposit the work piece.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: August 17, 2010
    Assignee: University of Newcastle Upon Tyne
    Inventor: Sudipta Roy
  • Patent number: 7767106
    Abstract: Provided is a dry etching method for an oxide semiconductor film containing at least In, Ga, and Zn, which includes etching an oxide semiconductor film in a gas atmosphere containing a halogen-based gas.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: August 3, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventor: Chienliu Chang
  • Patent number: 7758764
    Abstract: A method for processing a substrate in a plasma processing chamber is provided. The substrate is disposed above a chuck and surrounded by an edge ring. The edge ring is electrically isolated from the chuck. The method includes providing first RF power to the chuck. The method also includes providing an edge ring RF voltage control arrangement. The edge ring RF voltage control arrangement is coupled to the edge ring to provide second RF power to the edge ring resulting in the edge ring having an edge ring potential. The method further includes generating a plasma within the plasma processing chamber to process the substrate. The substrate is being processed while the edge ring RF voltage control arrangement is configured to cause the edge ring potential to be substantially equal to a DC potential of the substrate while processing the substrate.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: July 20, 2010
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Alexei Marakhtanov
  • Patent number: 7758762
    Abstract: An electron-emitting device comprises a pair of electrodes and an electroconductive film arranged between the electrodes and including an electron-emitting region carrying a graphite film. The graphite film shows, in a Raman spectroscopic analysis using a laser light source with a wavelength of 514.5 nm and a spot diameter of 1 ?m, peaks of scattered light, of which 1) a peak (P2) located in the vicinity of 1,580 cm?1 is greater than a peak (P1) located in the vicinity of 1,335 cm?1 or 2) the half-width of a peak (P1) located in the vicinity of 1,335 cm?1 is not greater than 150 cm?1.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: July 20, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Fumio Kishi, Masato Yamanobe, Takeo Tsukamoto, Toshikazu Ohnishi, Keisuke Yamamoto, Sotomitsu Ikeda, Yasuhiro Hamamoto, Kazuya Miyazaki
  • Publication number: 20100176086
    Abstract: A plasma processing apparatus performing a plasma processing to a substrate includes a processing vessel having a vacuum exhaustible processing chamber; a mounting table serving as a lower electrode for mounting thereon the substrate in the processing chamber; a circular ring member arranged to surround a periphery of the substrate whose radial one end portion is supported by the mounting table; an upper electrode arranged above the lower electrode to face same; and a power feed for supplying the mounting table with a high frequency power. The plasma processing apparatus further includes a first intermediate electrical conductor supporting a middle portion of the circular ring member; and a first movable electrical conductor which is selectively electrically connected or disconnected to the power feed; and a second intermediate electrical conductor supporting a radial opposite end portion of the circular ring member.
    Type: Application
    Filed: January 13, 2010
    Publication date: July 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Manabu IWATA
  • Patent number: 7736528
    Abstract: A tray 15 for a dry etching apparatus 1 has substrate accommodation holes 19A to 19D penetrating thickness direction and a substrate support portion 21 supporting an outer peripheral edge portion of a lower surface 2a of a substrate 2. A dielectric plate 23 has a tray support surface 28 supporting a lower surface of the tray 15, substrate placement portions 29A through 29D inserted from a lower surface side of the tray 15 into the substrate accommodation holes 19A through 19D and having a substrate placement surface 31 at its upper end surface for placing the substrate 2. A dc voltage applying mechanism 43 applies a dc voltage to an electrostatic attraction electrode 40. A heat conduction gas supply mechanism 45 supplies a heat conduction gas between the substrate 2 and substrate placement surface 31. The substrate 2 can be retained on the substrate placement surface 31 with high degree of adhesion.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: June 15, 2010
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Ryuzou Houchin, Hiroyuki Suzuki
  • Publication number: 20100116791
    Abstract: A plasma system for substrate processing comprising, a conducting electrode (b, bb) on which one or more substrates (d) can be held; a second conducting electrode (a) placed adjacent but separated from the substrate holding electrode on the side away from the side where the substrates are held; and a gas mixture distribution shower head (e) placed away from the conducting electrode on the side where the substrates are held for supplying the gas mixture (f) needed for processing the substrates in a uniform manner; such that a plasma configuration initiated and established, between the conducting electrode holding the substrates and the second conducting electrode envelops the electrode holding the substrate, is kept away from the shower head activating and distributing the gas mixture through orifices (ee) in the shower head, there by providing advantages of uniformity, yield and reliability of process.
    Type: Application
    Filed: March 11, 2008
    Publication date: May 13, 2010
    Inventors: Kenneth B.K. Teo, Nalin L. Rupesinghe
  • Publication number: 20100059478
    Abstract: There is provided a substrate supporter capable of securely supporting a substrate such as a wafer on which a device having a predetermined thin film pattern is formed to remove various impurities formed on the rear surface of the substrate, and a plasma processing apparatus having the same. The plasma processing apparatus includes: at least one arm; and a supporting portion extending from the arm toward a substrate seating position of the substrate, so that the plasma processing apparatus can reduce the likelihood of arc discharges compared with conventional dry etching to increase process yield and product reliability, and ensure stable mounting of a substrate.
    Type: Application
    Filed: March 13, 2008
    Publication date: March 11, 2010
    Inventors: Kyung Ho Lee, Jae Ho Guahk, Jae Choi, Young Ki Han, Hee Se Lee, Yong Hwan Lim, Kwan Goo Rha, Seng Hyun Chung, Sun Q Jeon, Jung Hee Lee
  • Publication number: 20100025372
    Abstract: In a plasma processing method, a conductor of an electrostatic chuck (ESC) and an electrode are electrically grounded prior to starting the plasma processing. A DC voltage with a polarity is applied to the conductor at a first time point after loading a substrate on the electrode. Then, the electrode is switched from an electrically grounded state to an electrically floating state at a second time point. A RF power is then applied to the electrode at a third time point. The application of the RF power is stopped at a fourth time point after a specified time has lapsed from the third time point. Then, the electrode is switched from the electrically floating state to the electrically grounded state at a fifth time point. Thereafter, the application of the DC voltage is stopped and the conductor is restored to a ground potential at a sixth time point.
    Type: Application
    Filed: July 31, 2009
    Publication date: February 4, 2010
    Applicant: TOKYO ELECTON LIMITED
    Inventors: Hiroshi Tsujimoto, Toshifumi Nagaiwa, Yuji Otsuka
  • Publication number: 20100006142
    Abstract: Deposition apparatus for uniformly forming material on a substrate in accordance with an exemplary embodiment is provided. The deposition apparatus includes an energy source, an electrode in a facing, spaced relationship with respect to the substrate, and interface structure joined to the electrode. The interface structure is configured to electrically couple energy from the energy source through and about the interface structure to the electrode for formation of a substantially uniform electric field between the electrode and a predetermined area of the substrate when the interface structure is supplied with energy from the energy source.
    Type: Application
    Filed: July 13, 2009
    Publication date: January 14, 2010
    Inventors: Yang Li, Scott Jones, Vin Cannella, Arun Kumar, Joachim Doehler, Kais Younan
  • Patent number: 7632419
    Abstract: Apparatus for in-situ monitoring of a process in a semiconductor wafer processing system consists of a process chamber having a dome, an enclosure disposed above the chamber, a process monitoring assembly positioned proximate the dome, an opening in the dome, and a window covering the opening. A portion of the apparatus supports the process monitoring assembly to establish a line-of-sight propagation path of monitoring beams from above the dome, through the window to the substrate to facilitate etch depth measurement without encountering interference from high power energy sources proximate the chamber. A method of fabricating a process monitoring apparatus consists of the steps of boring an opening into a dome, positioning the process monitoring assembly in proximity to the dome so as to allow a line-of-sight propagation path of monitoring beams from the process monitoring assembly to a wafer, and covering the opening with a window.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: December 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael Grimbergen, Shaoher X. Pan
  • Patent number: 7618686
    Abstract: An apparatus for plasma treatment of a non-conductive hollow substrate, including a plurality of ionization energy sources disposed adjacent to each other all along the part of the substrate to be treated. The apparatus also includes a processor to sequentially power the plurality of ionization energy sources from a radio frequency power source. Each ionization energy source includes two parts sandwiching the substrate. The ionization energy sources can be capacitively or inductively coupled plasma sources.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: November 17, 2009
    Assignee: European Community (EC)
    Inventors: Pascal Colpo, Francois Rossi
  • Patent number: 7619179
    Abstract: In an electrode for generating a plasma, disposed to face a surface of a substrate to perform a plasma processing on the surface of the substrate, the electrode includes a metal-based composite material formed by impregnating a metal into a base member made of a porous ceramic, and having a joint surface at least facing toward the entire surface of the substrate. The electrode also includes a conductive plate made of a plasma-resistant material melt-bonded by a metal to the joint surface of the metal-based composite material.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: November 17, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Patent number: 7615259
    Abstract: The present invention is a processing method for applying predetermined processing to a workpiece with said workpiece mounted on a mounting stage arranged in a process chamber in a depressurized atmosphere, in which when no workpiece is mounted on the mounting stage, an inactive gas is discharged from at least a heat transfer gas supply hole of the mounting stage in the process chamber so that a gas layer is formed on a mounting surface of the mounting stage. The present invention is also a processing apparatus.
    Type: Grant
    Filed: August 15, 2003
    Date of Patent: November 10, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Nishikawa
  • Patent number: 7601619
    Abstract: A method and an apparatus for plasma processing which can accurately monitor an ion current applied to the surface of a sample. Predetermined gas is exhausted via an exhaust port by a turbo-molecular pump while introducing the gas within the vacuum chamber from a gas supply device, and the pressure within the vacuum chamber is kept at a predetermined value by a pressure regulating valve. A high-frequency power supply for a plasma source supplies a high-frequency power to a coil provided near a dielectric window to generate inductively coupled plasma within the vacuum chamber. A high-frequency power supply for the sample electrode for supplying the high-frequency power to the sample electrode is provided. A matching circuit for the sample electrode and a high-frequency sensor are provided between the sample electrode high-frequency power supply and the sample electrode. An ion current applied to the surface of a sample can be accurately monitored buy using the high-frequency sensor and an arithmetic device.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: October 13, 2009
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Yuichiro Sasaki, Katsumi Okashita, Hiroyuki Ito, Bunji Mizuno, Cheng-Guo Jin, Ichiro Nakayama
  • Publication number: 20090242516
    Abstract: A plasma etching method includes disposing a first electrode and a second electrode to face each other; preparing a part in the processing chamber; supporting a substrate; vacuum-evacuating the processing chamber; supplying an etching gas into a processing space between the first electrode and the second electrode; generating a plasma of the etching gas in the processing space by applying a radio wave power to the first electrode or the second electrode; and etching a film to be processed on a surface of the substrate by using the plasma. Further, a DC voltage is applied to the part during the etching process, the part being disposed away from the substrate and being etched by reaction with reactant species in the plasma.
    Type: Application
    Filed: March 30, 2009
    Publication date: October 1, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu Honda, Hiroyuki Nakayama, Manabu Sato
  • Patent number: 7578946
    Abstract: An object of the present invention is to provide a plasma processing system and a plasma processing method which use inductive coupled plasmas but do not cause disadvantages due to slant electric fields immediately after plasmas have been ignited. An other object of the present invention is to provide a plasma processing system and a plasma processing method which use inductive coupled plasmas and include a Faraday shield to thereby remove slant magnetic fields so as to ensure the ignition of plasmas. The plasma processing system comprises a chamber 31, a bell jar 32, a coil 42 disposed on the outside of the belljar 32, a Faraday shield 44 disposed between the belljar 32 and the coil 42, a susceptor 33, a conducting member 49 disposed upper of the belljar 32, a first high-frequency electric power source for the coil 42 to generate induced electromagnetic fields, and a second high-frequency electric power source 34 for generating electric fields between the susceptor 33 and the conducting member 49.
    Type: Grant
    Filed: August 7, 2003
    Date of Patent: August 25, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Taro Ikeda
  • Publication number: 20090206058
    Abstract: A plasma processing apparatus includes a vacuum evacuable processing chamber, a first electrode divided into an outer electrode and an inner electrode, a second electrode, a first and a second high frequency power application unit for applying to the second electrode a first and a second high frequency power having a relatively high frequency and a relatively low frequency, respectively, a first and a second DC voltage application circuit apply a DC voltage to the outer and the inner electrode, respectively, and a processing gas supply unit. A space between the first electrode and the second electrode serves as a plasma generation space, and frequency-impedance characteristics of the outer electrode are set such that the impedance increases at the frequency of the second high frequency power and decreases at the frequency of the first high frequency power as the DC voltage applied to the outer electrode increases.
    Type: Application
    Filed: February 17, 2009
    Publication date: August 20, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Manabu IWATA, Hiroyuki NAKAYAMA, Kenji MASUZAWA, Masanobu HONDA
  • Publication number: 20090200269
    Abstract: A flexible polymer or elastomer coated RF return strap to be used in a plasma chamber to protect the RF strap from plasma generated radicals such as fluorine and oxygen radicals, and a method of processing a semiconductor substrate with reduced particle contamination in a plasma processing apparatus. The coated RF strap minimizes particle generation and exhibits lower erosion rates than an uncoated base component. Such a coated member having a flexible coating on a conductive flexible base component provides an RF ground return configured to allow movement of one or more electrodes in an adjustable gap capacitively coupled plasma reactor chamber.
    Type: Application
    Filed: February 9, 2009
    Publication date: August 13, 2009
    Applicant: Lam Research Corporation
    Inventors: Bobby Kadkhodayan, Jon McChesney, Eric Pape, Rajinder Dhindsa