Etching Of Substrate Containing At Least One Compound Having At Least One Oxygen Atom And At Least One Metal Atom Patents (Class 216/76)
  • Patent number: 11567410
    Abstract: Provided are a pattern formation method including a step of preparing a base material which has an etching layer transparent to an exposure wavelength on each of two surfaces thereof and is transparent to the exposure wavelength, a step of forming a photosensitive resin layer, in which an optical density to the exposure wavelength is in a range of 0.50 to 2.50, on the etching layer on each of the two surfaces of the base material, a step of pattern-exposing the photosensitive resin layer, a step of developing the photosensitive resin layer to form a resist pattern on two surfaces, a step of removing the etching layer on a portion that is not coated with the resist pattern, and a step of peeling the resist pattern off, in this order, a laminate, and a method of producing a touch panel.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: January 31, 2023
    Assignee: FUJIFILM Corporation
    Inventors: Shinichi Kanna, Takashi Aridomi
  • Patent number: 11177137
    Abstract: A method includes bonding a first surface of a first semiconductor substrate to a first surface of a second semiconductor substrate and forming a cavity in the first area of the first semiconductor substrate, where forming the cavity comprises: supplying a passivation gas mixture that deposits a passivation layer on a bottom surface and sidewalls of the cavity, where during deposition of the passivation layer, a deposition rate of the passivation layer on the bottom surface of the cavity is the same as a deposition rate of the passivation layer on sidewalls of the cavity; and etching the first area of the first semiconductor substrate using an etching gas, where the etching gas is supplied concurrently with the passivation gas mixture, etching the first area of the first semiconductor substrate comprises etching in a vertical direction at a greater rate than etching in a lateral direction.
    Type: Grant
    Filed: January 17, 2020
    Date of Patent: November 16, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Hsiung Lu, Hui-Min Huang, Ming-Da Cheng, Wei-Hung Lin, Chen-En Yen, Hsu-Lun Liu
  • Patent number: 11158517
    Abstract: A method for selective plasma etching of silicon oxide relative to silicon nitride. The method includes a) providing a substrate containing a silicon oxide film and a silicon nitride film, b) exposing the substrate to a plasma-excited treatment gas containing 1) H2 and 2) HF, F2, or both HF and F2, to form a silicon oxide surface layer with reduced oxygen content on the silicon oxide film and form an ammonium salt layer on the silicon nitride film, c) exposing the substrate to a plasma-excited halogen-containing gas that reacts with and removes the silicon oxide surface layer from the silicon oxide film, and d) repeating steps b) and c) at least once to further selectively etch the silicon oxide film relative to the ammonium salt layer on the silicon nitride film. The ammonium salt layer may be removed when the desired etching has been achieved.
    Type: Grant
    Filed: January 10, 2020
    Date of Patent: October 26, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Du Zhang, Yu-Hao Tsai, Mingmei Wang
  • Patent number: 10843265
    Abstract: A method of additive manufacture is disclosed. The method may include restricting, by an enclosure, an exchange of gaseous matter between an interior of the enclosure and an exterior of the enclosure. The method may further include running multiple machines within the enclosure. Each of the machines may execute its own process of additive manufacture. While the machines are running, a gas management system may maintain gaseous oxygen within the enclosure at or below a limiting oxygen concentration for the interior.
    Type: Grant
    Filed: October 27, 2016
    Date of Patent: November 24, 2020
    Assignee: SEURAT TECHNOLOGIES, INC.
    Inventors: James A. DeMuth, Erik Toomre, Francis L. Leard, Kourosh Kamshad, Heiner Fees, Eugene Berdichevsky
  • Patent number: 10843266
    Abstract: A method of additive manufacture is disclosed. The method may include creating, by a 3D printer contained within an enclosure, a part having a weight greater than or equal to 2,000 kilograms. A gas management system may maintain gaseous oxygen within the enclosure atmospheric level. In some embodiments, a wheeled vehicle may transport the part from inside the enclosure, through an airlock, as the airlock operates to buffer between a gaseous environment within the enclosure and a gaseous environment outside the enclosure, and to a location exterior to both the enclosure and the airlock.
    Type: Grant
    Filed: October 27, 2016
    Date of Patent: November 24, 2020
    Assignee: SEURAT TECHNOLOGIES, INC.
    Inventors: James A. DeMuth, Erik Toomre, Francis L. Leard, Kourosh Kamshad, Heiner Fees, Eugene Berdichevsky
  • Patent number: 10770308
    Abstract: A method for etching a ruthenium film includes a first step of etching the ruthenium film by plasma processing using oxygen-containing gas, and a second step of etching the ruthenium film by plasma processing using chlorine-containing gas. The first step and the second step are alternately performed. In the first step and the second step, the ruthenium film is etched at a target control temperature for a target processing time that are determined based on a pre-obtained relation between an etching amount per one cycle including the first step and the second step as a set, a control temperature of the ruthenium film, and processing times of each of the first step and the second step.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: September 8, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Nobuaki Seki, Takahiko Kato
  • Patent number: 10494739
    Abstract: Systems and methods for polishing a ceramic component using a laser. The ceramic component may include a planar region that is polished using, for example, a mechanical or chemical mechanical polishing operation to produce a polished face. A contoured region that is adjacent to the planar region may be irradiated using a laser to heat the ceramic material within the contoured region. The irradiation may reduce the surface roughness of the contoured region to produce a polished surface. The ceramic component may be heated prior to being irradiated with the laser to reduce thermal gradients within the ceramic component.
    Type: Grant
    Filed: July 29, 2015
    Date of Patent: December 3, 2019
    Assignee: APPLE INC.
    Inventors: Christopher D. Jones, Matthew S. Rogers, Dale N. Memering
  • Patent number: 10267151
    Abstract: The invention relates to a method for repairing a thermal barrier of a component comprising a substrate coated with such a thermal barrier, said substrate being made of a high-performance alloy, said thermal barrier being adhered to the alloy and having lower thermal conductivity than the alloy, the thermal barrier including at least one ceramic, one region of the thermal barrier being a region to be repaired, wherein said method includes the following steps: a) defining the region to be repaired, using a mask which protects the other regions of the thermal barrier; b) injecting a carrier gas loaded with droplets of ceramic precursor into a plasma discharge inside a plasma chamber of a plasma reactor containing the component to be repaired, while making the concentration of ceramic precursor in the carrier gas dependent on at least one parameter of the reactor selected from among: the pressure of the plasma chamber, the power of the plasma generator and the diameter of the precursor droplets, in order to cont
    Type: Grant
    Filed: December 1, 2014
    Date of Patent: April 23, 2019
    Assignee: Office National D'Etudes et de Recherches Aerospatiales
    Inventors: Marie-Pierre Bacos, Odile Lavigne, Catherine Rio, Marie-Helene Vidal-Setif, Frederic Rousseau, Daniel Morvan
  • Patent number: 9355863
    Abstract: A method of etching exposed titanium oxide on heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents may combine with a nitrogen-containing precursor such as an amine (N:) containing precursor. Reactants thereby produced etch the patterned heterogeneous structures with high titanium oxide selectivity while the substrate is at elevated temperature. Titanium oxide etch may alternatively involve supplying a fluorine-containing precursor and a source of nitrogen-and-hydrogen-containing precursor to the remote plasma. The methods may be used to remove titanium oxide while removing little or no low-K dielectric, polysilicon, silicon nitride or titanium nitride.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: May 31, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Zhijun Chen, Seung Park, Mikhail Korolik, Anchuan Wang, Nitin K. Ingle
  • Patent number: 9111877
    Abstract: A method of etching exposed titanium oxide on heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flawed into a substrate processing region where the plasma effluents may combine with a nitrogen-containing precursor such as an amine (N:) containing precursor. Reactants thereby produced etch, the patterned heterogeneous structures with high titanium oxide selectivity while the substrate is at elevated temperature. Titanium oxide etch may alternatively involve supplying a fluorine-containing precursor and a source of nitrogen-and-hydrogen-containing precursor to the remote plasma. The methods may be used to remove titanium oxide while removing little or no low-K dielectric, polysilicon, silicon nitride or titanium nitride.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: August 18, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Zhijun Chen, Seung Park, Mikhail Korolik, Anchuan Wang, Nitin K. Ingle
  • Publication number: 20150129546
    Abstract: Methods of selectively etching metal-containing materials from the surface of a substrate are described. The etch selectively removes metal-containing materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon germanium, silicon carbide, silicon carbon nitride and/or silicon nitride. The methods include exposing metal-containing materials to halogen containing species in a substrate processing region. No plasma excites the halogen-containing precursor either remotely or locally in embodiments.
    Type: Application
    Filed: October 14, 2014
    Publication date: May 14, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Nitin K. Ingle, Jessica Sevanne Kachian, Lin Xu, Soonam Park, Xikun Wang, Jeffrey W. Anthis
  • Patent number: 8951429
    Abstract: Methods of selectively etching tungsten oxide relative to tungsten, silicon oxide, silicon nitride and/or titanium nitride are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor in combination with ammonia (NH3). Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the tungsten oxide. The plasmas effluents react with exposed surfaces and selectively remove tungsten oxide while very slowly removing other exposed materials. Increasing a flow of ammonia during the process removes a typical skin of tungsten oxide having higher oxidation coordination number first and then selectively etching lower oxidation tungsten oxide. In some embodiments, the tungsten oxide etch selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: February 10, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jie Liu, Xikun Wang, Seung Park, Mikhail Korolik, Anchuan Wang, Nitin K. Ingle
  • Patent number: 8920666
    Abstract: Disclosed herein is a dry etching method for a work layer formed over a substrate, including the steps of forming a hard mask layer over the work layer formed over the substrate, forming a resist pattern over the hard mask layer, transferring the resist pattern to the hard mask layer by first dry etching conducted using the resist pattern, and patterning the work layer by second dry etching conducted using a hard mask pattern obtained upon the transfer to the hard mask layer, wherein after the hard mask layer is patterned by the first dry etching, the patterning of the work layer by the second dry etching is conducted through changing the concentration of an auxiliary ingredient of a dry etching gas, without changing a main ingredient of the dry etching gas, in an etching apparatus in which the first dry etching has been conducted.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: December 30, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shinichi Igarashi, Yukio Inazuki, Hideo Kaneko, Hiroki Yoshikawa, Yoshinori Kinase
  • Patent number: 8822345
    Abstract: A plasma processing apparatus includes a gas distribution member which supplies a process gas and radio frequency (RF) power to a showerhead electrode. The gas distribution member can include multiple gas passages which supply the same process gas or different process gases at the same or different flow rates to one or more plenums at the backside of the showerhead electrode. The gas distribution member provides a desired process gas distribution to be achieved across a semiconductor substrate processed in a gap between the showerhead electrode and a bottom electrode on which the substrate is supported.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: September 2, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric Lenz
  • Patent number: 8790529
    Abstract: A gas supply system for supplying a gas into a processing chamber for processing a substrate to be processed includes: a processing gas supply unit; a processing gas supply line; a first and a second branch line; a branch flow control unit; an additional gas supply unit; an additional gas supply line; and a control unit. The control unit performs, before processing the substrate to be processed, a processing gas supply control and an additional gas supply control by using the processing gas supply unit and the additional gas supply unit, respectively, wherein the additional gas supply control includes a control that supplies the additional gas at an initial flow rate greater than a set flow rate and then at the set flow rate after a lapse of a period of time.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: July 29, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shinichiro Hayasaka, Ken Horiuchi, Fumiko Yagi, Takeshi Yokouchi
  • Patent number: 8722547
    Abstract: Wafers having a high K dielectric layer and an oxide or nitride containing layer are etched in an inductively coupled plasma processing chamber by applying a source power to generate an inductively coupled plasma, introducing into the chamber a gas including BCl3, setting the temperature of the wafer to be between 100° C. and 350° C., and etching the wafer with a selectivity of high K dielectric to oxide or nitride greater than 10:1. Wafers having an oxide layer and a nitride layer are etched in a reactive ion etch processing chamber by applying a bias power to the wafer, introducing into the chamber a gas including BCl3, setting the temperature of the wafer to be between 20° C. and 200° C., and etching the wafer with an oxide to nitride selectivity greater than 10:1.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: May 13, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Radhika Mani, Nicolas Gani, Wei Liu, Meihua Shen, Shashank C. Deshmukh
  • Patent number: 8652338
    Abstract: A magnetic recording medium a magnetic recording medium includes a soft magnetic layer formed on a substrate, magnetic patterns made of a protruded ferromagnetic layer separated from each other on the soft magnetic layer, and a nonmagnetic layer formed between the magnetic patterns, a nitrogen concentration therein being higher on a surface side than on a substrate side.
    Type: Grant
    Filed: November 15, 2012
    Date of Patent: February 18, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kaori Kimura, Yoshiyuki Kamata, Satoshi Shirotori, Tsuyoshi Onitsuka
  • Patent number: 8568599
    Abstract: A touch panel fabricating method is disclosed. A first conductive layer, a second conductive layer and a first photo-resist layer are sequentially formed on a substrate. Next, the first photo-resist layer is patterned by using a gray-level mask. Then, the first conductive layer and the second conductive layer are etched according to the patterned first photo-resist layer to define a plurality of first sensing electrodes. Then, an insulation layer is formed on the substrate and the first sensing electrodes. The insulation layer is patterned by using a gray-level mask. Then, a third conductive layer is formed on the patterned insulation layer. A second photo-resist layer is formed on the third conductive layer. The second photo-resist layer is patterned to expose partial third conductive layer. Then, the exposed third conductive layer is etched to define a plurality of second sensing electrodes.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: October 29, 2013
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Chia-Wei Yu, Chi-Ming Chiou, Ya-Ju Lu
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8475674
    Abstract: Methods of dry etching silicon-containing dielectric films are described. The methods include maintaining a relatively high temperature of the dielectric films while etching in order to achieve reduced solid residue on the etched surface. Partially or completely avoiding the accumulation of solid residue increases the etch rate.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: July 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kiran V. Thadani, Jing Tang, Nitin Ingle, Dongqing Yang
  • Patent number: 8361340
    Abstract: Described herein are a method and an apparatus for removing metal oxides from a substrate surface within a target area. In one particular embodiment, the method and apparatus has an energizing electrode which has an array of protruding conductive tips that are electrically connected by a conductive wire and separated into a first electrically connected group and a second electrically connected group wherein at least a portion of the conductive tips are activated by a DC voltage source that is negatively biased to generate electrons within the target area that attach to at least a portion of a reducing gas that is present in the target area to form a negatively charged reducing gas that contacts the treating surface to reduce the metal oxides on the treating surface of the substrate.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: January 29, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Richard E. Patrick, Gregory Khosrov Arslanian, Ranajit Ghosh
  • Patent number: 8343364
    Abstract: A method of forming a near field transducer (NFT) for energy assisted magnetic recording is disclosed. A structure comprising an NFT metal layer and a first hardmask layer over the NFT metal layer is provided A first patterned hardmask is formed from the first hardmask layer, the first patterned hardmask disposed over a disk section and a pin section of the NFT to be formed. An etch process is performed on the NFT metal layer via the first patterned hardmask, the etch process forming the NFT having the disk section and the pin section.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: January 1, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Wei Gao, Guanxiong Li, Zhongyan Wang, Yufeng Hu, Ge Yi
  • Publication number: 20120205344
    Abstract: A method of activating a copper seed layer during a plating process is disclosed that comprises application of vapor generated by an ultrasonic wave nebulizer. The energized vapor droplets include water and a weak organic acid such as acetic acid, lactic acid, citric acid, uric acid, oxalic acid, or formic acid that have a vapor pressure proximate to that of water. The weak organic acid preferably has a pKa high enough to avoid Cu etching but is sufficiently acidic to remove copper oxide at a rate that is compatible with high throughput manufacturing. In one embodiment, weak acid/water vapor is applied to a substrate in a spin bowl and is followed by a deionized water rinse step in the same spin bowl. Improved wettability results in improved uniformity in subsequently plated copper films. Considerable cost savings is realized as a result of reduced chemical consumption and higher product yields.
    Type: Application
    Filed: February 11, 2011
    Publication date: August 16, 2012
    Inventors: Chao-Peng Chen, Jas Chudasama, Chien-Li Lin, David Wagner
  • Patent number: 8202441
    Abstract: Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a substrate including positioning a substrate having a metal layer disposed on an optically transparent material in a processing chamber, introducing a processing gas processing gas comprising an oxygen containing gas, a chlorine containing gas, and a chlorine-free halogen containing gas, and optionally, an inert gas, into the processing chamber, generating a plasma of the processing gas in the processing chamber, and etching exposed portions of the metal layer disposed on the substrate.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: June 19, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Madhavi R. Chandrachood, Nicole Sandlin, Yung-Hee Yvette Lee, Jian Ding
  • Patent number: 8187486
    Abstract: Etching of nitride and oxide layers with reactant gases is modulated by etching in different process regimes. High etch selectivity to silicon nitride is achieved in an adsorption regime where the partial pressure of the etchant is lower than its vapor pressure. Low etch selectivity to silicon nitride is achieved in a condensation regime where the partial pressure of the etchant is higher than its vapor pressure. By controlling partial pressure of the etchant, very high etch selectivity to silicon nitride may be achieved.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: May 29, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Xinye Liu, Chiukin Steven Lai
  • Patent number: 8182708
    Abstract: The present invention is to provide a method by which the waviness generated in a glass substrate surface during pre-polishing are removed and the glass substrate is finished so as to have a highly flat surface. The present invention relates a method of finishing a pre-polished glass substrate surface, the glass substrate being made of quartz glass containing a dopant and comprising SiO2 as a main component, the finishing method comprising: measuring a concentration distribution of the dopant contained in the glass substrate; and measuring a surface shape of the glass substrate in the pre-polished state, wherein conditions for processing the glass substrate surface are set for each part of the glass substrate based on the measurement results of the concentration distribution of the dopant and the surface shape of the glass substrate.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: May 22, 2012
    Assignee: Asahi Glass Company, Limited
    Inventor: Koji Otsuka
  • Patent number: 8153015
    Abstract: The invention concerns article having a surface oxide layer up to 20 nm thick, the surface oxide layer comprising chromium and cobalt oxides where the atomic ratio of Cr/Co is more than 3. The invention also concerns methods for treating a chromium containing material, said method comprising contacting said material with a gas plasma under conditions effective to oxidize at least a portion of the material; and contacting said material with an acid. The treated surface is corrosion resistant and can be used in orthopedic implants, especially the wear surface of the orthopedic implant to reduce wear, and other corrosive environment.
    Type: Grant
    Filed: August 18, 2008
    Date of Patent: April 10, 2012
    Assignee: DePuy Products, Inc.
    Inventors: Lawrence Salvati, Sophie Xiaofan Yang
  • Patent number: 8133325
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 13, 2012
    Assignee: ULVAC, Inc.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Publication number: 20120017825
    Abstract: A method for growing a crystalline composition, the first crystalline composition may include gallium and nitrogen. The crystalline composition may have an infrared absorption peak at about 3175 cm?1, with an absorbance per unit thickness of greater than about 0.01 cm?1. In one embodiment, the composition ay have an amount of oxygen present in a concentration of less than about 3×1018 per cubic centimeter, and may be free of two-dimensional planar boundary defects in a determined volume of the first crystalline composition.
    Type: Application
    Filed: November 9, 2006
    Publication date: January 26, 2012
    Applicant: General Electric Company
    Inventors: Mark Philip D'Evelyn, Kristi Jean Narang, Dong-Sil Park, Huicong Hong, Xian-An Cao, Larry Qiang Zeng
  • Patent number: 8088296
    Abstract: The present invention prevents drop in the function of a plasma processing device caused by reduction of a plasma generating chamber by reductive plasma that is generated from the introduced process gas, and extends the life of members which are in contact with reductive plasma, especially the plasma generating chamber member. The plasma processing device of this embodiment is a device for treating the surface of a processing subject S using radicals generated by exciting a process gas, wherein a plasma generating chamber member 6, having a internal plasma generating chamber 6a, is connected to a gas introduction tube 5 attached to the outside of the process chamber 1, and a gas regulator 7 is provided on the end of the plasma generating chamber member 6.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: January 3, 2012
    Assignee: Shibaura Mechatronics Corporation
    Inventor: Katsuhiro Yamazaki
  • Patent number: 8012529
    Abstract: According to the invention, an insulating or semi-insulating barrier layer which has a thickness where a tunnel current can flow through is provided between a hole injection electrode and an organic compound layer with hole transport characteristics (a hole injection layer or a hole transport layer). Specifically, a thin insulating or semi-insulating barrier layer which contains silicon or silicon oxide; silicon or silicon oxide and a light transmitting conductive oxide material; or silicon or silicon oxide, a light transmitting conductive oxide material, and carbon may be provided between a light transmitting conductive oxide film formed of a light transmitting conductive oxide material, such as ITO and a hole injection layer containing an organic compound.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: September 6, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Junichiro Sakata, Masakazu Murakami, Koji Moriya, Yoshiaki Oikawa, Taketomi Asami, Hisashi Ohtani
  • Patent number: 7955515
    Abstract: A method of plasma etching transition metal oxide thin films using carbon monoxide as the primary source gas. This permits carbonyl chemistries to be used at ambient temperature, without heating.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: June 7, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Usha Raghuram, Michael W. Konevecki
  • Patent number: 7935641
    Abstract: Example methods may provide a thin film etching method. Example thin film etching methods may include forming a Ga—In—Zn—O film on a substrate, forming a mask layer covering a portion of the Ga—In—Zn—O film, and etching the Ga—In—Zn—O film using the mask layer as an etch barrier, wherein an etching gas used in the etching includes chlorine. The etching gas may further include an alkane (CnH2n+2) and H2 gas. The chlorine gas may be, for example, Cl2, BCl3, and/or CCl3, and the alkane gas may be, for example, CH4.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: May 3, 2011
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Yeon-hee Kim, Jung-hyun Lee, Yong-young Park, Chang-soo Lee
  • Patent number: 7927986
    Abstract: A method of plasma doping includes providing a dopant gas comprising a dopant heavy halogenide compound gas to a plasma chamber. A plasma is formed in the plasma chamber with the dopant heavy halogenide compound gas and generates desired dopant ions and heavy fragments of precursor dopant molecule. A substrate in the plasma chamber is biased so that the desired dopant ions impact the substrate with a desired ion energy, thereby implanting the desired dopant ions and the heavy fragments of precursor dopant molecule into the substrate, wherein at least one of the ion energy and composition of the dopant heavy halogenide compound is chosen so that the implant profile in the substrate is substantially determined by the desired dopant ions.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: April 19, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, George D. Papasouliotis, Edwin Arevalo
  • Patent number: 7910011
    Abstract: A magnetic head includes: a pole layer including a track width defining portion and a wide portion; and an encasing layer disposed on a bottom forming layer and having a groove that accommodates the pole layer. The groove includes a first portion for accommodating at least part of the track width defining portion, and a second portion for accommodating at least part of the wide portion. A manufacturing method for the magnetic head includes: the step of etching a nonmagnetic layer that will become the encasing layer later, such that an initial groove including the first portion is formed in the nonmagnetic layer; the step of forming an initial groove mask covering the first portion; and a second etching step for etching the nonmagnetic layer so as to complete the groove. When the second etching step starts, a portion of the top surface of the bottom forming layer located in a region in which the second portion is to be formed is covered with the nonmagnetic layer or the initial groove mask.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 22, 2011
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Itoh, Shigeki Tanemura, Kazuo Ishizaki
  • Patent number: 7902076
    Abstract: A method of fabricating a semiconductor device according to one embodiment includes: forming a porous film above a semiconductor substrate; forming an altered layer by applying alteration treatment to a first pattern region of the porous film up to a predetermined depth; forming a first concave portion by etching a second pattern region to a depth deeper than the predetermined depth, the second pattern region at least partially overlapping the first pattern region of the porous film having the altered layer formed therein; and forming a second concave portion by selectively removing the altered layer from the porous film after forming the first concave portion.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: March 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tsubasa Imamura
  • Patent number: 7897201
    Abstract: A method is for manufacturing a magnetoresistance effect element having a magnetization fixed layer, a non-magnetic intermediate layer, and a magnetization free layer being sequentially stacked. The method includes: forming at least a part of a magnetic layer that is to become either one of the magnetization fixed layer and the magnetization free layer; forming a function layer including at least one of an oxide, a nitride, and a fluoride on the part of the magnetic layer; and removing a part of the function layer by exposing the function layer to either one of an ion beam and plasma irradiation.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: March 1, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiromi Yuasa, Hideaki Fukuzawa, Yoshihiko Fuji, Hitoshi Iwasaki
  • Patent number: 7892445
    Abstract: A method of dechucking a wafer, with a low-k dielectric layer, held onto an electrostatic chuck by an electrostatic charge in a plasma chamber is provided. The electrostatic clamping voltage is removed. An essentially argon free dechucking gas is provided into the plasma chamber. A dechucking plasma is formed from the dechucking gas in the plasma chamber. The dechucking plasma is stopped.
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: February 22, 2011
    Assignee: Lam Research Corporation
    Inventors: David Wei, Howard Dang, Masahiro Watanabe, Sean Kang, Kenji Takeshita, Mayumi Block, Stephen Sirard, Eric Hudson
  • Publication number: 20100321830
    Abstract: A slider is formed of a two-phase material having a first component and a second component that is harder than the first component. A media-facing surface is formed on two-phase material, with a leading edge extending transversely from the media-facing surface. The second component is selectively removed from the two-phase material in a contact region located along at least one of the leading edge and the media-facing surface, such that a hardness of the slider is reduced in the contact region.
    Type: Application
    Filed: June 22, 2009
    Publication date: December 23, 2010
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Ying Dong, Feng Wang, Ki Myung Lee
  • Patent number: 7803280
    Abstract: The invention provides a method in which waviness generated on a glass substrate surface during pre-polishing is removed, thereby finishing the glass substrate to have a surface excellent in flatness. The method for finishing a pre-polished glass substrate uses ion beam etching, gas cluster ion beam etching or plasma etching, the method including: a step of measuring flatness of the glass substrate surface using a shape measurement unit, and a step of measuring a concentration distribution of the dopant contained in the glass substrate. Processing conditions of the glass substrate surface are set up for each site of the glass substrate based on the results obtained from the step of measuring flatness and the step of measuring a concentration distribution of the dopant. Finishing includes keeping an angle formed by a normal line of the glass substrate and an incident beam onto the glass substrate at from 30° to 89°.
    Type: Grant
    Filed: June 1, 2009
    Date of Patent: September 28, 2010
    Assignee: Asahi Glass Company, Limited
    Inventors: Koji Otsuka, Kenji Okamura
  • Patent number: 7780862
    Abstract: In one implementation, a method is provided capable of etching a wafer to form devices including a high-k dielectric layer. The method includes etching an upper conductive material layer in a first plasma chamber with a low cathode temperature, transferring the wafer to a second chamber without breaking vacuum, etching a high-k dielectric layer in the second chamber, and transferring the wafer from the second chamber to the first plasma chamber without breaking vacuum. A lower conductive material layer is etched with a low cathode temperature in the first chamber. In one implementation, the high-k dielectric etch is a plasma etch using a high temperature cathode. In another implementation, the high-k dielectric etch is a reactive ion etch.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Meihua Shen, Xikun Wang, Wei Liu, Yan Du, Shashank Deshmukh
  • Patent number: 7767106
    Abstract: Provided is a dry etching method for an oxide semiconductor film containing at least In, Ga, and Zn, which includes etching an oxide semiconductor film in a gas atmosphere containing a halogen-based gas.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: August 3, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventor: Chienliu Chang
  • Patent number: 7682518
    Abstract: Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a substrate including positioning a substrate having a metal layer disposed on an optically transparent material in a processing chamber, introducing a processing gas processing gas comprising an oxygen containing gas, a chlorine containing gas, and a chlorine-free halogen containing gas, and optionally, an inert gas, into the processing chamber, generating a plasma of the processing gas in the processing chamber, and etching exposed portions of the metal layer disposed on the substrate.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: March 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Madhavi R. Chandrachood, Nicole Sandlin, Yung-Hee Yvette Lee, Jian Ding
  • Patent number: 7667820
    Abstract: An amount of oxides in an apparatus having a chamber containing an amount of contamination material and an amount of the oxides, the oxides being oxides of the contamination material, is reduced. In the method, a hydrogen containing gas is provided in at least part of the chamber. A predetermined minimum partial hydrogen gas pressure and a predetermined maximum partial oxidants pressure is established in the chamber. In the chamber, a temperature is maintained which is at least higher than a temperature at which, in thermodynamic equilibrium given the predetermined maximum partial oxidants and minimum partial hydrogen gas pressures as established, the amount of contamination material is at least 10 times higher than the amount of oxides.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: February 23, 2010
    Assignee: ASML Netherlands B.V.
    Inventor: Antonius Theodorus Wilhelmus Kempen
  • Patent number: 7575810
    Abstract: A reflector includes a non-uniform metal oxide layer surface.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: August 18, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Kurt M. Ulmer, Terrance A. Tiessen
  • Patent number: 7563380
    Abstract: An apparatus and a method comprising same for removing metal oxides from a substrate surface are disclosed herein. In one particular embodiment, the apparatus comprises an electrode assembly that has a housing that is at least partially comprised of an insulating material and having an internal volume and at least one fluid inlet that is in fluid communication with the internal volume; a conductive base connected to the housing comprising a plurality of conductive tips that extend therefrom into a target area and a plurality of perforations that extend therethrough and are in fluid communication with the internal volume to allow for a passage of a gas mixture comprising a reducing gas.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: July 21, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Wayne Thomas McDermott, Alexander Schwarz, Gregory Khosrov Arslanian, Richard E. Patrick, Gary A. Orbeck, Donald A. Seccombe, Jr.
  • Patent number: 7540969
    Abstract: A manufacturing process of a high thermal conducting circuit substrate is provided. First, a metal core substrate is provided and then the metal core substrate is etched at different etching speeds. Afterwards, two insulating layers are formed respectively on two sides of the etched metal core substrate. In addition, as an option, two conducting layers are formed respectively on two sides of the metal core substrate and are on top of the insulting layers. The conducting layers are patterned according to designs appropriate for the products. Because the high thermal conducting circuit substrate fabricated as the aforementioned manufacturing process mainly comprises the metal core substrate, it helps to elevate the thermal conduction of the circuit substrate itself.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: June 2, 2009
    Assignee: Subtron Technology Co., Ltd.
    Inventors: Chung W. Ho, Leo Shen
  • Patent number: 7521000
    Abstract: Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a substrate including positioning a substrate having a metal layer disposed on an optically transparent material in a processing chamber, introducing a processing gas processing gas comprising an oxygen containing gas, a chlorine containing gas, and a chlorine-free halogen containing gas, and optionally, an inert gas, into the processing chamber, generating a plasma of the processing gas in the processing chamber, and etching exposed portions of the metal layer disposed on the substrate.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Madhavi R. Chandrachood, Nicole Sandlin, Yung-Hee Yvette Lee, Jian Ding
  • Patent number: 7504643
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa). The cleaning arrangement may further include a gas return system.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: March 17, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov, Derk Jan Wilfred Klunder, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Patent number: 7495239
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa).
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: February 24, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov