With Means To Convey Or Guide The Target Patents (Class 250/400)
  • Publication number: 20100237253
    Abstract: A charged particle beam drawing apparatus includes a charged particle beam gun, a first forming aperture member having an opening, wherein a charged particle beam emitted from the charged particle beam gun is passed through the opening of the first forming aperture member, a second forming aperture member having an opening, wherein the charged particle beam passed through the first forming aperture member is passed through the opening of the second forming aperture member, a movable stage for supporting a workpiece, wherein patterns corresponding to figures in a drawing data are drawn on the workpiece by the charged particle beam passed through the second forming aperture member, and a drawing data correcting process portion for moving the figures in the drawing data on the basis of positions in the opening of the second forming aperture, where the charged particle beam for drawing the patterns is passed through.
    Type: Application
    Filed: March 17, 2010
    Publication date: September 23, 2010
    Applicant: NuFlare Technology, Inc.
    Inventor: Jun YASHIMA
  • Patent number: 7800084
    Abstract: A charged-particle beam lithography system is provided. A region to be patterned is divided into plural frames, a main deflection positions a beam to a subfield within the frame, and an auxiliary deflection draws a pattern in units of subfield. The deflection control portion draws a pattern in units of stripe including a first frame drawing region and a second frame drawing region. The first frame drawing region corresponds to one of the frames, and the second frame drawing region is a region moved by a distance C from the first frame drawing region toward a frame to be drawn next. The deflection control portion controls the driver to alternately pattern a first sub-field drawing region in the first frame drawing region and a second sub-field drawing region in the second frame drawing region. The distance C satisfies 0<C<Ws. Ws is a width of the subfield.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: September 21, 2010
    Assignee: NuFlare Technology, Inc.
    Inventor: Shuichi Tamamushi
  • Publication number: 20100224790
    Abstract: In a particle multi-beam structuring apparatus for forming a pattern on a target's surface using a beam of electrically charged particles, during exposure steps the particle beam is produced, directed through a pattern definition means producing a patterned particle beam composed of multiple beamlets, and projected by an optical column including a controllable deflection means onto the target surface to form, at a nominal location on the target, a beam image comprising the image of defining structures in the pattern definition means. The beam image's nominal location relative to the target is changed between exposure steps. The actual location of the beam image is varied within each exposure step around the nominal location, through a set of locations realizing a distribution of locations within the image plane around a mean location coinciding with the nominal location, thus introducing an additional blur which is homogenous over the entire beam image.
    Type: Application
    Filed: February 19, 2010
    Publication date: September 9, 2010
    Applicant: IMS Nanofabrication AG
    Inventors: Elmar Platzgummer, Heinrich Fragner, Stefan Cernusca
  • Publication number: 20100213386
    Abstract: A focused ion beam system includes a sample holder having a fixing plane for fixing a sample, a sample base on which the sample holder is provided, a focused ion beam irradiating mechanism that irradiates a focused ion beam to the sample, microtweezers that hold the sample and have the axial direction at a predetermined angle to a surface of the sample base, an opening/closing mechanism that opens and closes the microtweezers, a rotating mechanism that rotates the microtweezers about the axial direction, and a moving mechanism that moves the position of the microtweezers.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 26, 2010
    Inventors: Xin Man, Kouji Iwasaki, Junichi Tashiro
  • Publication number: 20100213385
    Abstract: A device for circumscribing a target site with a beam. The target site is located within a target body. The path of the beam is varied rotationally so as to form a cone with an isocenter at the cone's apex. The isocenter is fixed on the approximate center of the target site. The target body is rotated about a vertical axis passing approximately through the center of the target site, and the rates of rotation of the beam path and body, respectively correspond so that the beam intersects an axis passing through the target site at an approximately constant angle.
    Type: Application
    Filed: February 24, 2009
    Publication date: August 26, 2010
    Inventor: John F. Moore
  • Publication number: 20100172229
    Abstract: In a drawing method and device, an electron beam is radiated to a surface of an object to draw a pattern along scan lines on the surface of the object. A pattern is drawn along the scan lines on the surface of the object by the electron beam through relative movement of the object relative to the electron beam. The electron beam is deflected at a speed that does not cause a pattern to be formed on the object surface, in one of a first direction intersecting the scan lines and a second direction opposite to the first direction, without performing blanking of the electron beam, so that a beam spot of the deflected electron beam is positioned to one of the scan lines on the object surface. The drawing step and the deflecting step are repeated alternately.
    Type: Application
    Filed: March 6, 2008
    Publication date: July 8, 2010
    Inventors: Takeshi Miyazaki, Noboru Murayama
  • Patent number: 7741614
    Abstract: The window-frame judgment unit judges that a section to be drawn has entered a window-frame area according to the main deflector data and the stage position information to output judgment signal. The main deflector calculator receives the main deflector data and calculates calculation data for driving a driving unit based on the main deflector data. The transfer controller detects output of the judgment signal and completion of drawing in the section under drawing to receive a transfer of the calculation data from the main deflector calculator and transfer the calculation data to the driving unit.
    Type: Grant
    Filed: June 13, 2007
    Date of Patent: June 22, 2010
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideo Inoue
  • Patent number: 7737423
    Abstract: A central dryer for electron beam curing is described which includes a first application unit for the application of a first coating to a web. The central dryer for electron beam curing also includes an irradiation unit in which a first electron beam generator and a second electron beam generator are arranged for the irradiation of the web. The electron beam generators arranged in the irradiation unit have connections for at least one pump system to generate an operating vacuum. In addition to this the central dryer for electron beam curing also has a web guiding system which feeds the web successively but not necessarily in direct succession, to the first application unit, the first electron beam generator, the second electron beam generator.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: June 15, 2010
    Inventors: Dirk Burth, Bengt Laurell
  • Patent number: 7732786
    Abstract: A device for coupling energy in a plasmon wave to an electron beam includes a metal transmission line having a pointed end; a generator mechanism constructed and adapted to generate a beam of charged particles; and a detector microcircuit disposed adjacent to the generator mechanism. The generator mechanism and the detector microcircuit are disposed adjacent the pointed end of the metal transmission line and wherein a beam of charged particles from the generator mechanism to the detector microcircuit electrically couples the plasmon wave traveling along the metal transmission line to the microcircuit.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: June 8, 2010
    Assignee: Virgin Islands Microsystems, Inc.
    Inventors: Jonathan Gorrell, Mark Davidson, Michael E. Maines
  • Patent number: 7700930
    Abstract: A lithographic apparatus including a filter device is disclosed. The filter device has a plurality of foils attached to a holder which is able to rotate around a rotation axis. The foils are arranged substantially parallel to the rotation axis. The foils comprise a uni-directional carbon-fiber composite material selected from the group consisting of carbon-carbon composite (C-C composite) and carbon-silicon carbide composite (C—SiC composite). During operation, the filter device rotates and filters out debris from a radiation source, such as a Sn plasma source. Such a filter device per se may be provided.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: April 20, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Edwin Johan Buis, Tjarko Adriaan Rudolf Van Empel
  • Patent number: 7688942
    Abstract: Described is an element analysis device, which can be used to obtain precise measurements even under unfavorable environmental conditions. For this, the device is provided with a transporting means with a measuring region (14) for transporting the substance (S) to be measured, an excitation source with an exit window located in a first case (22) and an X-ray fluorescence detector (30) that is directed toward the measuring region (14), as well as an entrance window (34) that is located in a second case (32). To minimize the air absorption and prevent dust and dirt from being deposited, a tube (40, 50) extends from the entrance window (34) and/or the exit window (24) in the direction of the measuring region, which tube is essentially tightly connected to the respective case (22, 32) and is open at the end facing the measuring region and is provided with a connection (44, 54) for feeding a flushing gas into the tube (FIG. 1).
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: March 30, 2010
    Inventor: Albert Klein
  • Patent number: 7679068
    Abstract: A method of obtaining a deflection aberration correcting voltage. The method includes writing predetermined patterns at a plurality of focus height positions such that a dose is used as a variable. Dimensional variations of width sizes of the predetermined patterns written at the plurality of focus height positions such that the dose is used as the variable are measured. Further, effective resolutions of the written predetermined patterns are calculated by using the dimensional variations. The method further includes, on the basis of a focus height position at which a minimum effective resolution of the predetermined patterns is obtained, calculating a correcting voltage to correct deflection aberration and outputting the correcting voltage. The correcting voltage is used when a charged particle beam is deflected.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: March 16, 2010
    Assignee: NuFlare Technology, Inc.
    Inventors: Takashi Kamikubo, Shuichi Tamamushi, Hitoshi Sunaoshi, Kenji Ohtoshi, Rieko Nishimura
  • Patent number: 7667208
    Abstract: A technique for confining secondary electrons on a wafer is disclosed. In one particular exemplary embodiment, the technique may be realized as an apparatus and method for confining secondary electrons in plasma-based ion implantation. The apparatus and method may comprise a magnetic field portion of a magnetic field configuration placed under a target wafer for generating a magnetic field above the target wafer for confining secondary electrons on the target wafer. The apparatus and method may also comprise a magnetic field above the target wafer that is substantially parallel to an upper surface of the target wafer. The apparatus and method may additionally comprise a magnetic field portion comprising at least one of a plurality of coils, one or more current-carrying wires, and a plurality of magnets.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: February 23, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Rajesh Dorai
  • Patent number: 7663124
    Abstract: A recording device including a beam deflection section for relatively moving an irradiation position of an exposure beam with respect to a substrate on which a resist layer is formed; a substrate velocity adjustment section for adjusting a moving velocity of the substrate based on a deflection amount of the exposure beam; and a deflection control section for controlling to change a deflection velocity of the exposure beam during exposure of the recording signals according to the moving velocity of the substrate.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: February 16, 2010
    Assignee: Pioneer Corporation
    Inventors: Osamu Kasono, Osamu Kumasaka
  • Patent number: 7655923
    Abstract: A mesh (M) having an ellipsoid shape or a shape close to the ellipsoid shape is attached to an electrode (EL1) among electrodes (EL1 to ELn). Voltages of the later-stage electrodes (EL2 to ELn) are appropriately set. With this arrangement, a local negative spherical aberration generated by the mesh (M) is cancelled out with a positive spherical aberration. This optimizes an electric field distribution. As a result, this realizes an electrostatic lens whose acceptance angle is extended to about ±60°.
    Type: Grant
    Filed: November 9, 2004
    Date of Patent: February 2, 2010
    Assignees: National University Corporation Nara Institute of Science and Technology, Jeol, Ltd.
    Inventors: Hiroshi Daimon, Hiroyuki Matsuda, Makoto Kato, Masato Kudo
  • Patent number: 7626179
    Abstract: We describe an ultra-small structure that produces visible light of varying frequency, from a single metallic layer. In one example, a row of metallic posts are etched or plated on a substrate according to a particular geometry. When a charged particle beam passed close by the row of posts, the posts and cavities between them cooperate to resonate and produce radiation in the visible spectrum (or even higher). A plurality of such rows of different geometries can be etched or plated from a single metal layer such that the charged particle beam will yield different visible light frequencies (i.e., different colors) using different ones of the rows.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: December 1, 2009
    Assignee: Virgin Island Microsystems, Inc.
    Inventors: Jonathan Gorrell, Mark Davidson, Michael E Maines
  • Patent number: 7608838
    Abstract: An electron optical component used to improve the spatial resolution in magnetic projection electron lenses or other electron optical devices by filtering the cyclotron orbit radii of electron trajectories in the lens magnetic field.
    Type: Grant
    Filed: January 15, 2007
    Date of Patent: October 27, 2009
    Inventor: Raymond Browning
  • Patent number: 7598504
    Abstract: A writing error diagnosis method for a charged beam photolithography apparatus and a charged beam photolithography apparatus which can specify an error cause within a short period of time in occurrence of a pattern writing error are provided. The writing error diagnosis method for a charged beam photolithography apparatus is a writing error diagnosis method for a charged beam photolithography apparatus which irradiates a charged beam on a target object to write a desired pattern. Processing result data of a pattern writing circuit at a position where a pattern writing error occurs is collected after the pattern writing error occurs, and the collected processing result data of the pattern writing circuit is compared with correct data. The charged beam photolithography apparatus has means which realizes the diagnosis method.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: October 6, 2009
    Assignee: NuFlare Technology, Inc.
    Inventors: Hayato Kimura, Yujin Handa, Seiji Wake, Takuya Matsukawa, Seiichi Tsuchiya
  • Patent number: 7579604
    Abstract: A system, method, and apparatus for mitigating contamination associated with ion implantation are provided. An ion source, end station, and mass analyzer positioned between the ion source and the end station are provided, wherein an ion beam is formed from the ion source and selectively travels through the mass analyzer to the end station, based on a position of a beam stop assembly. The beam stop assembly selectively prevents the ion beam from entering and/or exiting the mass analyzer, therein minimizing contamination associated with an unstable ion source during transition periods such as a start-up of the ion implantation system.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: August 25, 2009
    Assignee: Axcelis Technologies Inc.
    Inventors: John W. Vanderpot, Yongzhang Huang
  • Patent number: 7573030
    Abstract: It is an object of the present invention to provide a specimen observation method, an image processing device, and a charged-particle beam device which are preferable for selecting, based on an image acquired by an optical microscope, an image area that should be acquired in a charged-particle beam device the representative of which is an electron microscope. In the present invention, in order to accomplish the above-described object, there are provided a method and a device for determining the position for detection of charged particles by making the comparison between a stained optical microscope image and an elemental mapping image formed based on X-rays detected by irradiation with the charged-particle beam.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: August 11, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Eiko Nakazawa, Masahiro Tomita, Hiroyuki Kobayashi
  • Patent number: 7573053
    Abstract: A method and an electron source are provided for generating polarized electrons for an electron microscope. The electron source includes a photoemissive cathode and a low-power drive laser. The geometry of the photoemissive cathode uses a generally planar emission surface, which is imaged to approximately 1/100 its initial size via electrostatic focusing elements. The virtual emitter, or image spot, then is used as an electron source by a conventional microscope column.
    Type: Grant
    Filed: January 22, 2007
    Date of Patent: August 11, 2009
    Assignee: UChicago Argonne, LLC
    Inventors: John W. Lewellen, John Noonan
  • Patent number: 7569836
    Abstract: A device includes first and second chips, each chip containing at least one electronic circuit. The second chip has one or more receivers. A deflection mechanism operationally connected to an electronic circuit of the first chip directs a charged particle beam to different ones of the receivers, based, at least in part, on a data signal provided by the electronic circuit.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: August 4, 2009
    Assignee: Virgin Islands Microsystems, Inc.
    Inventor: Jonathan Gorrell
  • Patent number: 7566882
    Abstract: One embodiment pertains to a method of electron beam lithography. An illumination electron beam is formed, and a dynamic pattern generating device is used to generate an electron-reflective pattern of pixels and to reflect the illumination electron beam from said pattern so as to form a patterned electron beam. The patterned electron beam is projected onto a platter configured to hold and rotate a plurality of target substrates. Said generated pattern of pixels is shifted in correspondence with the rotation of the platter so that the patterned electron beam writes a swath path of pixels over the target substrates. Other features, aspects and embodiments are also disclosed.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: July 28, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Harald F. Hess
  • Patent number: 7547899
    Abstract: A system, method, and apparatus for mitigating contamination during ion implantation are provided. An ion source, end station, and mass analyzer positioned between the ion source and the end station are provided, wherein an ion beam is formed from the ion source and travels through the mass analyzer to the end station. An ion beam dump assembly comprising a particle collector, particle attractor, and shield are associated with the mass analyzer, wherein an electrical potential of the particle attractor is operable to attract and constrain contamination particles within the particle collector, and wherein the shield is operable to shield the electrical potential of the particle attractor from an electrical potential of an ion beam within the mass analyzer.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: June 16, 2009
    Assignee: Axcelis Technologies, Inc.
    Inventors: John W. Vanderpot, Yongzhang Huang
  • Patent number: 7547898
    Abstract: A system and method for mitigating contamination in an ion implantation system is provided. The system comprises an ion source, a power supply operable to supply power to a filament and mirror electrode of the ion source, a workpiece handling system, and a controller, wherein the ion source is selectively tunable via the controller to provide rapid control of a formation of an ion beam. The controller is operable to selectively rapidly control power to the ion source, therein modulating a power of the ion beam between an implantation power and a minimal power in less than approximately 20 microseconds based, at least in part, to a signal associated with a workpiece position. Control of the ion source therefore mitigates particle contamination in the ion implantation system by minimizing an amount of time at which the ion beam is at the implantation current.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: June 16, 2009
    Assignee: Axcelis Technologies, Inc.
    Inventors: Donald W. Berrian, John W. Vanderpot
  • Patent number: 7528393
    Abstract: A charged particle beam processing apparatus includes a sample chamber to process a substrate including side faces by a charged particle beam, a movable stage in the sample chamber, the stage including a place on which the substrate is to be mounted, a height and position acquiring unit to acquire a height of the substrate on the stage by irradiating a laser beam onto the substrate on the stage and using the laser beam reflected from the substrate and to acquire positions of at least two adjacent side faces among the side faces based on the acquired height, and a calculating unit to calculate a position of center of gravity of the substrate on the stage and a rotation angle as to a rotary axis vertical to the place on which the substrate is to be mounted based on the positions of the at least two adjacent side faces.
    Type: Grant
    Filed: September 26, 2005
    Date of Patent: May 5, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kiminobu Akeno
  • Patent number: 7528392
    Abstract: Techniques for low-temperature ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for low-temperature ion implantation. The apparatus may comprise a wafer support mechanism to hold a wafer during ion implantation and to facilitate movement of the wafer in at least one dimension. The apparatus may also comprise a cooling mechanism coupled to the wafer support mechanism. The cooling mechanism may comprise a refrigeration unit, a closed loop of rigid pipes to circulate at least one coolant from the refrigeration unit to the wafer support mechanism, and one or more rotary bearings to couple the rigid pipes to accommodate the movement of the wafer in the at least one dimension.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: May 5, 2009
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Jonathan Gerald England, Richard Stephen Muka, D. Jeffrey Lischer
  • Patent number: 7521674
    Abstract: Apparatus and method for trapping uncharged multi-pole particles comprises a bound cavity for receiving the particles, and a multiplicity of electrodes coupled to the cavity for producing an electric field in the cavity. In a preferred embodiment, the electrodes are configured to produce in the electric field potential both a multi-pole (e.g., dipole) component that aligns the particles predominantly along an axis of the cavity and a higher order multi-pole (e.g., hexapole) component that forms a trapping region along the axis. In one embodiment, the electrodes and/or the particles are cooled to a cryogenic temperature.
    Type: Grant
    Filed: September 1, 2007
    Date of Patent: April 21, 2009
    Assignee: Alcatel-Lucent USA Inc.
    Inventor: Stanley Pau
  • Patent number: 7511288
    Abstract: To provide an ion implantation device which suppresses diffusion of an ion beam, can finely control a scanning waveform and can obtain a large scanning angle of about 10°. In the ion implantation device, first, second and third chambers 12A, 14A and 16A are arranged in predetermined places on a beam line, first and second gaps 20A and 22A intervene between the first chamber 12A and the second chamber 14A and between the second chamber 14A and the third chamber 16A, the second chamber 14A is electrically insulated from the first and third chambers 12A and 16A via first and second electrode pairs 26A and 28A attached to the first and second gaps 20A and 22A, respectively, the first and second electrode pairs 26A and 28A obliquely cross a standard axis J of the ion beam at a predetermined angle in opposite directions, and the second chamber 14 is connected to a scanning power source 40A which applies an electric potential having desired scanning waveform.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: March 31, 2009
    Assignee: Ulvac Co., Ltd
    Inventors: Seiji Ogata, Yuzo Sakurada, Masayuki Sekiguchi, Tsutomu Nishihashi
  • Patent number: 7504642
    Abstract: A method and apparatus uses photoluminescence to identify defects in one or more specified material layers of a sample. One or more filtering elements are used to filter out predetermined wavelengths of return light emitted from a sample. The predetermined wavelengths are selected such that only return light emitted from one or more specified material layers of the sample is detected. Additionally or alternatively, the wavelength of incident light directed into the sample may be selected to penetrate the sample to a given depth, or to excite only one or more selected material layers in the sample. Accordingly, defect data characteristic of primarily only the one or more specified material layers is generated.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: March 17, 2009
    Assignee: Nanometrics Incorporated
    Inventors: Steven G. Hummel, Tom Walker
  • Patent number: 7501625
    Abstract: A charge control electrode emitting photoelectrons is disposed just above a wafer (sample) in parallel thereto, and the electrode has a through hole so that ultraviolet light can be irradiated to the wafer through the charge control electrode. Specifically, a metal plate which is formed in mesh or includes one or plural holes is used as the charge control electrode. By disposing the charge control electrode just above the sample in parallel thereto, when negative voltage is applied to the electrode, electric field approximately perpendicular to the wafer is generated. Therefore, photoelectrons are efficiently absorbed in the wafer. Also, by using the charge control electrode having approximately the same size as that of the wafer, charges on a whole surface of the wafer can be removed collectively and uniformly. Therefore, time required for the process can be reduced.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: March 10, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hikaru Koyama, Hiroshi Makino, Mitsugu Sato
  • Patent number: 7491933
    Abstract: An electron beam (4) to be irradiated onto a sample (10) is two-dimensionally scanned by a scanning coil (9), and secondary electrons generated from the sample (10) by the scanning are detected by a secondary electron detector (13). A deflection coil (15) for image shifting is used for electrically deflecting the primary electron beam to shift a field of view for image shift in an arbitrary direction by an arbitrary amount. By the image shift, the primary electron beam (4) to be irradiated onto the sample is energy dispersed to degrade the resolution. However, an E×B field producer (30) for dispersion control gives the primary electron beam energy dispersion in the opposite direction and having the equal magnitude. Therefore, the energy dispersion produced in the primary electron beam by the image shift is automatically corrected.
    Type: Grant
    Filed: September 26, 2005
    Date of Patent: February 17, 2009
    Assignee: Hitachi, Ltd.
    Inventors: Mitsugu Sato, Hideo Todokoro
  • Publication number: 20090032725
    Abstract: Embodiments of an apparatus and methods of forming isolated islands of modified material with a gas cluster ion beam are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: July 30, 2007
    Publication date: February 5, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: John J. Hautala
  • Publication number: 20090032726
    Abstract: A system and method are provided for implanting ions into a workpiece in a plurality of operating ranges. A desired dosage of ions is provided, and a spot ion beam is formed from an ion source and mass analyzed by a mass analyzer. Ions are implanted into the workpiece in one of a first mode and a second mode based on the desired dosage of ions, where in the first mode, the ion beam is scanned by a beam scanning system positioned downstream of the mass analyzer and parallelized by a parallelizer positioned downstream of the beam scanning system. In the first mode, the workpiece is scanned through the scanned ion beam in at least one dimension by a workpiece scanning system. In the second mode, the ion beam is passed through the beam scanning system and parallelizer un-scanned, and the workpiece is two-dimensionally scanned through the spot ion beam.
    Type: Application
    Filed: July 31, 2007
    Publication date: February 5, 2009
    Inventors: Manny Sieradzki, Patrick Splinter, Bo H. Vanderberg
  • Patent number: 7485874
    Abstract: This apparatus for manufacturing semiconductor substrates has support disks and holding units holding semiconductor substrates on the support disks. The holding unit has a stopper which is formed of a conductive material and holds the brim of the semiconductor substrate, a stopper holder which supports the stopper at the outer circumferential portion thereof, a retaining member which retains the stopper to the support disk, and a heating unit which heats the stopper.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: February 3, 2009
    Assignee: Sumco Corporation
    Inventors: Seiichi Nakamura, Hideki Nishihata, Riyuusuke Kasamatsu, Kazunori Tsubuku, Akira Bando, Nobuo Tsumaki, Tomoji Watanabe, Kazuo Mera, Tsuneo Hayashi, Yoichi Kurosawa
  • Patent number: 7473909
    Abstract: An ion implantation system utilizing detected ion induced luminescence as feedback control that comprises, a wafer, a spectrometer, a photodetector, an ion source generator, wherein the ion source generator is configured to implant the wafer with ions, and the photodetector is configured to detect ion induced luminescence both on and off the wafer.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: January 6, 2009
    Assignee: Axcelis Technologies, Inc.
    Inventor: Ivan L. Berry, III
  • Patent number: 7459692
    Abstract: A method and apparatus are disclosed for improving space charge neutralization adjacent a magnet of an ion implanter by confining the electrons inside a magnetic region thereof to reduce electron losses and therefore improve the transport efficiency of a low energy beam. A magnetic pole member for a magnet of an ion implanter is provided that includes an outer surface having a plurality of magnetic field concentration members that form magnetic field concentrations adjacent the magnetic pole member. Electrons that encounter this increased magnetic field are repelled back along the same magnetic field line rather than allowed to escape. An analyzer magnet and ion implanter including the magnet pole are also provided so that a method of improving low energy ion beam space charge neutralization in an ion implanter is realized.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: December 2, 2008
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Anthony Renau, Joseph C. Olson, Shengwu Chang, James Buff
  • Patent number: 7435969
    Abstract: An electrostatic deflector that can be manufactured easily and very accurately without using a member for positioning is provided. After multiple slits 81d to 88d have been formed in the same direction as that of the bus bar of an approximately conical electrode material 100 whose large-diameter section is formed with flange portions 81a to 88a for installation on an insulator 90, each of the flange portions is coupled with the insulator 90, then the electrode material 100 is cut along extension lines of the slits 81d to 88d, and thus, multiple electrode members electrically isolated from one another are formed.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: October 14, 2008
    Assignee: Topcon Corporation
    Inventors: Takashi Kametani, Masahiro Inoue
  • Patent number: 7423276
    Abstract: In an irradiation system with an ion beam/charged particle beam, an ion beam/charged particle beam is deflected by an energy filter for the energy analysis and then a wafer irradiated with the beam. The energy filter controls the spread of magnetic field distribution caused by a deflection magnet, cancels a leakage magnetic field in the longitudinal direction, and bends the ion beam/charged particle beam at a uniform angle at any positions overall in scanning-deflection area.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: September 9, 2008
    Assignee: Sen Corporation, An Shi and Axcelis Company
    Inventor: Takanori Yagita
  • Patent number: 7397026
    Abstract: The present invention relates to, inter alia, methods and apparatuses for electron transfer dissociation (ETD) that vary the internal energy of precursor ions for ETD. The methods and apparatuses are particularly useful in mass spectrometry.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: July 8, 2008
    Assignee: Agilent Technologies, inc.
    Inventor: Jerry T Dowell
  • Patent number: 7397039
    Abstract: Improved systems, apparatus, and methods for detecting positions of moving stages and accurately compensating position error during operation (in “real time”) are provided. For some embodiments, rather than rely on two dimensional position measurements, measurements in at least three dimensions may be taken allowing compensation for pitch and roll and, therefore, more accurate position measurements. Further, by including a measurement of a beam column, compensation for movement of the beam may be performed.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: July 8, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Bruce B. Baxter, Benyamin Buller, Wenwei Qiao, Huei-Mei Kao
  • Publication number: 20080142728
    Abstract: A mechanical scanner for ion implantation of a substrate, the mechanical scanner comprising a hexapod with a movable platform for holding the substrate, wherein the hexapod is arranged to have six degrees of freedom to allow the movable platform to be traversed relative to an ion beam along a predetermined path.
    Type: Application
    Filed: October 30, 2006
    Publication date: June 19, 2008
    Inventors: Theodore Smick, Ronald Horner, Causon Jen
  • Publication number: 20080142726
    Abstract: This invention relates to a scanning arm assembly for multi-directional mechanical scanning of a semiconductor wafer or other substrate to be implanted. The present invention provides a scanning arm assembly comprising a pair of drive arms connected by two linkage arms to form a quadrilateral. Rotary joints are provided to join adjacent arms together, and a substrate holder is provided on one linkage arm where it joins the other linkage arm. Thus, rotating the drive arms causes the substrate holder to move. Suitable control of the drive arms allows the substrate holder to be moved through an ion beam to follow many different paths and hence implant patterns.
    Type: Application
    Filed: October 27, 2006
    Publication date: June 19, 2008
    Inventors: Keith Relleen, Tristan Holtam
  • Publication number: 20080142727
    Abstract: This invention relates to a method of measuring a property of an ion beam, for example an ion beam current profile or the emittance of an ion beam. A Faraday array comprising an array of ion beam current sensors is employed. The array can provide an ion beam current profile at the plane of the array. The Faraday array is also used in conjunction with an occluding element that may be moved through the ion beam upstream of the Faraday array, there obscuring varying portions of the ion beam from the Faraday array. Suitable manipulation of the signals from the Faraday allows the ion beam current profile to be determined for the plane of the occluding element, and also for the emittance of the ion beam at the plane of the occluding element to be determined.
    Type: Application
    Filed: October 30, 2006
    Publication date: June 19, 2008
    Inventors: Geoffrey Ryding, Takao Sakase, Marvin Farley, Theodore Smick
  • Publication number: 20080135748
    Abstract: A mesh (M) having an ellipsoid shape or a shape close to the ellipsoid shape is attached to an electrode (EL1) among electrodes (EL1 to ELn). Voltages of the later-stage electrodes (EL2 to ELn) are appropriately set. With this arrangement, a local negative spherical aberration generated by the mesh (M) is cancelled out with a positive spherical aberration. This optimizes an electric field distribution. As a result, this realizes an electrostatic lens whose acceptance angle is extended to about±60°.
    Type: Application
    Filed: November 9, 2004
    Publication date: June 12, 2008
    Inventors: Hiroshi Daimon, Hiroyuki Matsuda, Makoto Kato, Masato Kudo
  • Patent number: 7385185
    Abstract: In a tandem mass spectrometer means are provided for molecular activation of ions prior to fragmentation. An embodiment of a tandem mass spectrometer comprises a first collision cell receiving analyte ions having an internal energy and a second collision cell situated downstream from the first collision cell wherein the first collision cell increases the internal energy of the analyte ions prior to entry of the ions into the second collision cell, the increase in internal energy imparted in the first collision cell alone being insufficient to fragment a substantial portion of the analyte ions. Another embodiment includes a collision cell with a heating device situated adjacent to the collision cell for controlling the temperature within the collision cell.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: June 10, 2008
    Assignee: Agilent Technologies, Inc.
    Inventors: Jerry T. Dowell, John Fjeldsted
  • Patent number: 7385203
    Abstract: A charged particle beam extraction system and method capable of ensuring higher safety when extraction of an ion beam is on/off-controlled during irradiation of the ion beam for treatment. The charged particle beam extraction system comprises a charged particle beam generator including a synchrotron, a range modulation wheel (RMW) for forming a Bragg peak width of a charged particle beam extracted from the charged particle beam generator, a gate signal generator for controlling start and stop of extraction of the charged particle beam from the charged particle beam generator in accordance with a rotational angle of the RMW, and an irradiation control/determination section for determining whether the start and stop of extraction of the charged particle beam is controlled at desired timing by the gate signal generator.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: June 10, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Takahide Nakayama, Takayoshi Natori, Masaki Yanagisawa
  • Patent number: 7375357
    Abstract: The present invention provides a plurality of permanent magnets to enhance radiation dose delivery of a high energy particle beam. The direction of the magnetic field from the permanent magnets may be changed by moving the permanent magnets.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: May 20, 2008
    Assignee: Avi Faliks
    Inventor: Leon Kaufman
  • Patent number: 7361916
    Abstract: A coupled nano-resonating structure includes a plurality of a nano-resonating substructures constructed and adapted to couple energy from a beam of charged particles into said nano-resonating structure and to transmit the coupled energy outside said nano-resonating structure. The nano-resonant substructures may have various shapes and may include parallel rows of structures. The rows may be symmetric or asymmetric, tilted, and/or staggered.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: April 22, 2008
    Assignee: Virgin Islands Microsystems, Inc.
    Inventors: Jonathan Gorrell, Mark Davidson, Michael E. Maines
  • Patent number: 7348569
    Abstract: A method and apparatus for accelerating charged particles are disclosed, wherein the method comprises using at least a transverse component of a temporally and spatially shaped electromagnetic field to accelerate one or more charged particles.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: March 25, 2008
    Assignee: Massachusetts Institute of Technology
    Inventors: Thomas Feurer, Darius H. Torchinsky, Keith A. Nelson