Etching Or Brightening Compositions Patents (Class 252/79.1)
  • Patent number: 8999185
    Abstract: The present invention provides a process of etching or plating comprising the steps of: i) ink jet printing an alkali removeable water insoluble hot melt ink jet ink onto a substrate to form a resist image; ii) etching or plating the substrate in an aqueous acid medium; and iv) removing the resist image with an aqueous alkali.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: April 7, 2015
    Assignee: Sun Chemical Corporation
    Inventor: Nigel Anthony Caiger
  • Patent number: 8999194
    Abstract: The present invention is to provide an etching solution capable of effectively reducing Galvanic effect, wherein the etching solution is obtained by way of dissolving an etchant and a nitrogen containing five-member heterocyclic compound in water. Thus, when at least one first metal (e.g., gold) and at least one second metal (e.g., copper) disposed on a substrate is treated with a wet etching process by using this etching solution, the nitrogen containing five-member heterocyclic compound would form an organic protecting film on the first metal having higher reduction potential, so as to effectively avoid the second metal from being over etched resulted from the Galvanic effect.
    Type: Grant
    Filed: February 24, 2014
    Date of Patent: April 7, 2015
    Assignee: E-Chem Enterprise Corp.
    Inventors: Cheng-Ying Tsai, Cheng-Kai Liao, Su-Fei Hsu
  • Patent number: 8999312
    Abstract: The use of poly(oxybutylene)-poly(oxyethylene)-poly(oxybutylene) block copolymers in pharmaceutical compositions useful, for modifying the surfaces of contact lenses and other medical devices is disclosed. The present invention is based in-part on a discovery that this class of compounds is particularly efficient in wetting hydrophobic surfaces, such as the surfaces of silicone hydrogel contact lenses and other types of ophthalmic lenses, but do not induce foaming when used in conjunction with a peroxide-based contact lens disinfection regimen. Such compounds may also be useful for cleaning purposes. The use of the compounds as surfactants in peroxide-based compositions for disinfecting contact lenses therefore represents a preferred embodiment of the present invention.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: April 7, 2015
    Assignee: Alcon Research, Ltd.
    Inventors: James W. Davis, Howard Allen Ketelson
  • Publication number: 20150093900
    Abstract: A chemical mechanical polishing composition for polishing silicon wafers is provided, containing: water, optionally, an abrasive; a cation according to formula (I); piperazine or a piperazine derivative according to formula (II); and, a quaternary ammonium compound; wherein the chemical mechanical polishing composition exhibits a pH of 9 to 12. Also provided are methods of making and using the chemical mechanical polishing composition.
    Type: Application
    Filed: September 27, 2013
    Publication date: April 2, 2015
    Inventors: Yasuyuki Itai, Naresh Kumar Penta, Naoko Kawai, Hiroyuki Nakano, Shinichi Haba, Yoshiharu Ota, Takayuki Matsushita, Masashi Teramoto, Sakiko Nakashima, Tomoyuki Toda, Koichi Yoshida, Lee Melbourne Cook
  • Publication number: 20150087156
    Abstract: A method of etching a semiconductor substrate, having the steps of: preparing an etching liquid by mixing a first liquid with a second liquid to be in the range of pH from 8.5 to 14, the first liquid containing a basic compound, the second liquid containing an oxidizing agent; and then applying the etching liquid to a semiconductor substrate on a timely basis for etching a Ti-containing layer in or on the semiconductor substrate.
    Type: Application
    Filed: December 3, 2014
    Publication date: March 26, 2015
    Applicant: FUJIFILM Corporation
    Inventors: Tetsuya KAMIMURA, Tadashi INABA, Naotsugu MURO, Yoshinori NISHIWAKI
  • Publication number: 20150083962
    Abstract: Provided is a polishing composition that does not contain abrasives and that is used for polishing a silicon wafer, the polishing composition including a pH buffer, a polishing accelerator, a water-soluble polymer, and a block-type compound. By polishing a silicon wafer by using the polishing composition, a polishing speed of greater than 0.1 ?m/min can be achieved.
    Type: Application
    Filed: December 4, 2014
    Publication date: March 26, 2015
    Inventors: Masashi TERAMOTO, Shinichi OGATA, Ryuichi TANIMOTO
  • Publication number: 20150075850
    Abstract: The object of the present invention is to provide an etching solution composition for etching a metal oxide containing In and a metal oxide containing Zn and In used as a transparent electrode or an oxide semiconductor of an electronic device such as a semiconductor element or a flat panel display (FPD), the etching solution composition being controllable to give a practical etching rate, having high dissolving power toward Zn, and enabling a long solution life due to suppressed variation of the formulation during use. The object is solved by an etching solution composition that enables microfabrication to be carried out for a metal oxide containing In and a metal oxide containing Zn and In used as a transparent electrode or an oxide semiconductor of an electronic device such as a semiconductor element or an FPD, the composition containing water and at least one type of acid, excluding hydrohalic acids, perhalic acids, etc., having an acid dissociation constant pKan at 25° C.
    Type: Application
    Filed: September 18, 2014
    Publication date: March 19, 2015
    Applicant: Kanto Kagaku Kabushiki Kaisha
    Inventors: Takuo Ohwada, Toshikazu Shimizu
  • Publication number: 20150079782
    Abstract: The present inventive concepts provide a liquid composition for etching a metal containing copper. The liquid composition may include hydrogen peroxide in a range of about 0.1 wt % to about 10 wt % and a buffer solution in a range of about 0.1 wt % to about 10 wt %. The buffer solution may include citrate. The liquid composition may have a pH in a range of about 4.0 to about 7.0.
    Type: Application
    Filed: June 24, 2014
    Publication date: March 19, 2015
    Inventors: Dong-Min Kang, Hyungjun Jeon, Ingoo Kang, Jeong Kwon, Jung-ig Jeon, Jungsik Choi, Young Taek Hong, Akira Hosomi, Tomoko Suzuki
  • Publication number: 20150079786
    Abstract: A solution for processing devices is provided, comprising an activator comprising at least one of pyridine, pyrole, pyrrolidine, pyrimidine, N,N-dimethylformamide, tetraethylamine chloride, 4 pyridinethiol, or other organic compounds with a single N with a lone pair electron activator and an etchant comprising at least one of thionly chloride, Cl2, Br2, I2, SOF2, SOF4, SO2Cl2, SOBr2, S2O6F2, HSO3F, or C2Cl4O2.
    Type: Application
    Filed: September 17, 2013
    Publication date: March 19, 2015
    Inventors: Samantha S.H. Tan, Alexander Kabansky, Joydeep Guha
  • Publication number: 20150079789
    Abstract: The polishing composition has a pH of 7 or more and is used in applications for polishing a silicon substrate. The polishing composition contains abrasive grains and a water-soluble polymer. The water-soluble polymer is a copolymer including a first monomer unit having a characteristic value P of 50-100 inclusive, and a second monomer unit having a characteristic value P of at least ?100 and less than 50. The characteristic value P is the result of subtracting an adsorption coefficient S2 of the abrasive grains obtained through a specific standard test B from a wettability coefficient S1 of the silicon substrate obtained through a specific standard test A.
    Type: Application
    Filed: March 12, 2013
    Publication date: March 19, 2015
    Applicant: FUJIMI INCORPORATED
    Inventors: Yoshio Mori, Kohsuke Tsuchiya, Maki Asada, Shuhei Takahashi
  • Publication number: 20150076396
    Abstract: Liquid compositions for etching glass are disclosed herein. The liquid composition may include a non-active etching agent precursor that is inactive with respect to chemically etching glass in an amount of at least 2.5% by weight of the total composition, a binder and a liquid vehicle. The precursor may include an alkali metal salt having an activation temperature of at least 400° C. and when heated to above the activation temperature, the precursor yields an active etching agent suitable for chemical etching of glass.
    Type: Application
    Filed: November 25, 2014
    Publication date: March 19, 2015
    Inventors: Matti BEN-MOSHE, Michael KHEYFETS
  • Publication number: 20150079788
    Abstract: A low defect chemical mechanical polishing composition for polishing silicon oxide containing substrates is provided comprising, as initial components: water, a colloidal silica abrasive; and, an additive according to formula I.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 19, 2015
    Inventor: Yi Guo
  • Patent number: 8980121
    Abstract: The present invention provides an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, and a method of using it for etching a multilayer thin film containing a copper layer and a titanium layer, that is, an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, which comprises (A) hydrogen peroxide, (B) nitric acid, (C) a fluoride ion source, (D) an azole, (E) a quaternary ammonium hydroxide and (F) a hydrogen peroxide stabilizer and has a pH of from 1.5 to 2.5, and a etching method of using it.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: March 17, 2015
    Assignees: Mitsubishi Gas Chemical Company, Inc., Sharp Kabushiki Kaisha
    Inventors: Tomoyuki Adaniya, Satoshi Okabe, Toshiyuki Gotou, Taketo Maruyama, Kazuki Kobayashi, Keiichi Tanaka, Wataru Nakamura, Kenichi Kitoh, Tetsunori Tanaka
  • Patent number: 8980122
    Abstract: The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: March 17, 2015
    Assignee: General Engineering & Research, L.L.C.
    Inventor: Robin Ihnfeldt
  • Publication number: 20150069016
    Abstract: There is provided a polishing composition capable of suppressing formation of a stepped portion caused by etching of a surface of a polishing object including a portion containing a group IV material when the polishing object is polished. The present invention relates to a polishing composition for polishing of a polishing object including a portion that contains a group IV material, and the polishing composition contains an oxidizing agent and an anticorrosive agent. Preferably, the anticorrosive agent includes at least one selected from the group consisting of compounds in which two or more carbonyl groups contained in a molecule are bonded through a carbon atom in the molecule. To be more specific, preferably, the anticorrosive agent includes at least one selected from the group consisting of a 1,3-diketone compound, a 1,4-diketone compound, and a triketone compound.
    Type: Application
    Filed: March 11, 2013
    Publication date: March 12, 2015
    Inventors: Shuichi Tamada, Satoru Yarita
  • Patent number: 8974692
    Abstract: Provided are novel chemical mechanical polishing (CMP) slurry compositions for polishing copper substrates and method of using the CMP compositions. The CMP slurry compositions deliver superior planarization with high and tunable removal rates and low defects when polishing bulk copper layers of the nanostructures of IC chips. The CMP slurry compositions also offer the high selectivity for polishing copper relative to the other materials (such as Ti, TiN, Ta, TaN, and Si), suitable for through-silicon via (TSV) CMP process which demands high copper film removal rates.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: March 10, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, Krishna Murella, James Allen Schlueter, Jae Ouk Choo
  • Patent number: 8974685
    Abstract: Provided is a fine-processing agent which, when fine-processing a laminated film stacked at least with a silicon dioxide film and a silicon nitride film, can selectively fine-process the silicon dioxide film. Also provided is a fine-processing method utilizing the fine-processing agent. The fine-processing agent is characterized by including: (a) 0.01-15.0 weight % hydrogen fluoride and/or 0.1-40.0 weight % ammonium fluoride, (b) water, and (c) 0.001-10.00 weight % water-soluble polymer selected from among a group consisting of acrylic acid, ammonium acrylate, acrylic acid ester, acrylamide, styrenesulfonic acid, ammonium styrenesulfonate, and styrenesulfonic acid ester.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: March 10, 2015
    Assignee: Stella Chemifa Corporation
    Inventors: Masayuki Miyashita, Takanobu Kujime, Keiichi Nii
  • Patent number: 8974681
    Abstract: A method for balancing a rotor, notably of a turbomachine, includes a step of determining an unbalancing mass, and a step of balancing by chemical machining of the rotor. The chemical machining includes arranging a bath containing a chemical machining agent so that the bath has a capacity of heterogeneous material removal as a function of the depth in the bath, an amount of material removal at a first depth in the bath is greater than an amount of material removal at a second depth in the bath; immersing the rotor in the bath; and orienting the rotor in the bath taking account of the capacity of heterogeneous material removal so that the quantity of material removed from the rotor in a zone of the unbalancing mass is sufficient to balance the rotor.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: March 10, 2015
    Assignee: SNECMA
    Inventors: Gabriela Rodriguez Elizondo, Stephane Vitrac, Marcial Suarez Cabrera, Patrick Momier
  • Publication number: 20150060400
    Abstract: The present invention provides a polishing composition that can suppress generation of bumps due to etching on a surface of an object to be polished having a germanium material-containing part during the polishing of the object. The polishing composition of the present invention contains abrasive grains, an oxidant and a water-soluble polymer. The water-soluble polymer may be a water-soluble polymer such that 5,000 or more molecules are adsorbed per 1 ?m2 of the surface area of the abrasive grains. Alternatively, the water-soluble polymer may be a compound that reduces the water contact angle of the germanium material-containing part of the object to be polished after the object has been polished by using the polishing composition.
    Type: Application
    Filed: April 9, 2013
    Publication date: March 5, 2015
    Applicant: FUJIMI INCORPORATED
    Inventor: Shuichi Tamada
  • Patent number: 8969276
    Abstract: An aqueous acidic etching solution suitable for texturing the surface of single crystal and polycrystal silicon substrates and containing, based on the complete weight of the solution, 3 to 10% by weight of hydrofluoric acid; 10 to 35% by weight of nitric acid; 5 to 40% by weight of sulfuric acid; and 55 to 82% by weight of water; a method for texturing the surface of single crystal and polycrystal silicon substrates comprising the step of (1) contacting at least one major surface of a substrate with the said aqueous acidic etching solution; (2) etching the at least one major surface of the substrate for a time and at a temperature sufficient to obtain a surface texture consisting of recesses and protrusions; and (3) removing the at least one major surface of the substrate from the contact with the aqueous acidic etching solution; and a method for manufacturing photovoltaic cells and solar cells using the said solution and the said texturing method.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: March 3, 2015
    Assignee: BASF SE
    Inventors: Simon Braun, Julian Proelss, Ihor Melnyk, Michael Michel, Stefan Mathijssen
  • Patent number: 8961815
    Abstract: The present disclosure is directed to a highly dilutable chemical mechanical polishing concentrate comprising an abrasive, an acid, a stabilizer, and water with a point-of-use pH ranging from 2.2-3.5 for planarizing current and next generation semiconductor integrated circuit FEOL/BEOL substrates.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: February 24, 2015
    Assignee: Planar Solutions, LLC
    Inventors: Bin Hu, Abhiskek Singh, Gert Moyaerts, Deepak Mahulikar, Richard Wen
  • Patent number: 8961814
    Abstract: Methods and formulations for the selective etching of etch stop layers deposited above metal-based semiconductor layers used in the manufacture of TFT-based display devices are presented. The formulations are based on an alkaline solution. Methods and formulations for the selective etching of molybdenum-based and/or copper-based source/drain electrode layers deposited above metal-based semiconductor layers used in the manufacture of TFT-based display devices are presented. The formulations are based on an alkaline solution.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: February 24, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Jeroen Van Duren, Zhi-Wen Wen Sun
  • Patent number: 8961807
    Abstract: Disclosed are a polishing composition and method of polishing a substrate. The composition has low-load (e.g., up to about 0.1 wt. %) of abrasive particles. The polishing composition also contains water and at least one anionic surfactant. In some embodiments, the abrasive particles are alpha alumina particles (e.g., coated with organic polymer). The polishing composition can be used, e.g., to polish a substrate of weak strength such as an organic polymer. An agent for oxidizing at least one of silicon and organic polymer is included in the composition in some embodiments.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 24, 2015
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine
  • Publication number: 20150050862
    Abstract: A polishing composition contains abrasive grains and water. 50% by mass or more of the abrasive grains consists of particles A having particle sizes between 40 nm and 80 nm inclusive, and 10% by mass or more of the abrasive grains consists of particles B having particle sizes between 150 nm and 300 nm inclusive. The polishing composition is used to polish a surface of a compound semiconductor substrate.
    Type: Application
    Filed: March 4, 2013
    Publication date: February 19, 2015
    Applicant: FUJIMI INCORPORATED
    Inventors: Masayuki Serikawa, Tomomi Akiyama
  • Patent number: 8956977
    Abstract: The present invention provides a semiconductor device production method and a rinse used in the production method. The method includes: a sealing composition application process in which a semiconductor sealing layer is formed by applying, to at least a portion of a surface of a semiconductor substrate, a semiconductor sealing composition that includes a resin having a cationic functional group and a weight average molecular weight of from 2,000 to 600,000, wherein a content of sodium and a content of potassium are 10 mass ppb or less on an elemental basis, respectively; and, subsequently, a rinsing process in which the surface of the semiconductor substrate on which the semiconductor sealing layer has been formed is rinsed with a rinse having a pH at 25° C. of 6 or lower.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: February 17, 2015
    Assignee: Mitsu Chemicals, Inc.
    Inventors: Shoko Ono, Kazuo Kohmura, Hirofumi Tanaka
  • Patent number: 8951430
    Abstract: Methods of metal assisted chemical etching III-V semiconductors are provided. The methods can include providing an electrically conductive film pattern disposed on a semiconductor substrate comprising a III-V semiconductor. At least a portion of the III-V semiconductor immediately below the conductive film pattern may be selectively removed by immersing the electrically conductive film pattern and the semiconductor substrate into an etchant solution comprising an acid and an oxidizing agent having an oxidation potential less than an oxidation potential of hydrogen peroxide. Such methods can form high aspect ratio semiconductor nanostructures.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 10, 2015
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Xiuling Li, Matthew T. Dejarld, Jae Cheol Shin, Winston Chern
  • Publication number: 20150037614
    Abstract: The present disclosure relates to a planarized bit-patterned magnetic medium that has a magnetic layer, including island regions and trench regions, a first carbon layer applied over the magnetic layer, and a second carbon layer applied over the first carbon layer, wherein the second carbon layer has been removed in the island regions. The first carbon layer may have a lower material removal rate when exposed to chemical-mechanical polishing than the second carbon layer. The present disclosure also relates to a method for planarizing a bit-patterned magnetic medium and a slurry composition for the chemical-mechanical polishing of carbon layers, the slurry composition including an oxidizer component, a catalyst component, a particulate component, and a reaction control component.
    Type: Application
    Filed: August 2, 2013
    Publication date: February 5, 2015
    Applicant: HGST Netherlands B.V.
    Inventors: Kurt A. Rubin, Richard L. White, Xiaoping Bian
  • Publication number: 20150028253
    Abstract: New stabilizers for solutions of choline hydroxide and related quaternary trialkylalkanolamines are disclosed. The stabilizers are alkyl hydroxylamines, hydrazines, hydrazides, or derivates thereof, including compounds containing more than one such functionality. The new stabilizers are effective at concentrations less than about 1000 ppm, and choline hydroxide solutions stabilized with the compounds described herein typically have Gardner Color change less than about 2.0 after six months at reasonable temperatures.
    Type: Application
    Filed: April 8, 2013
    Publication date: January 29, 2015
    Inventor: Dave C. Ferguson
  • Publication number: 20150027978
    Abstract: Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity.
    Type: Application
    Filed: December 27, 2012
    Publication date: January 29, 2015
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Jeffrey A. Barnes, Emanuel I. Cooper, Li-Min Chen, Steven Lippy, Rekha Rajaram, Sheng-Hung Tu
  • Publication number: 20150028254
    Abstract: The invention provides a polishing composition comprising (a) silica, (b) one or more compounds that increases the removal rate of silicon, (c) one or more tetraalkylammonium salts, and (d) water, wherein the polishing composition has a pH of about 7 to about 11. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Application
    Filed: October 8, 2014
    Publication date: January 29, 2015
    Inventors: Brian REISS, John CLARK, Lamon JONES, Jeffrey GILLILAND, Michael WHITE
  • Patent number: 8940178
    Abstract: A method of texturizing a silicon substrate comprising a) contacting the substrate with an etching solution comprising glycolic acid, b) etching a surface of the substrate thereby forming disruptions in said surface of the substrate, and c) removing the etching solution to yield a texturized substrate, said texturized substrate having a plurality of disruptions in at least one surface with a surface density of disruptions of a minimum of 60 disruptions in a 400 micron square area.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: January 27, 2015
    Assignee: E I du Pont de Nemours and Company
    Inventors: Seung Jin Lee, Hee Soo Yeo
  • Patent number: 8940182
    Abstract: Etching compositions are provided. The etching composition includes a phosphoric acid, ammonium ions and a silicon compound. The silicon compound includes a silicon atom, an atomic group having an amino group combined with the silicon atom, and at least two oxygen atoms combined with the silicon atom. Methods utilizing the etching compositions are also provided.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: January 27, 2015
    Assignees: Samsung Electronics Co., Ltd., Soulbrain Co., Ltd.
    Inventors: Young-Taek Hong, Jinuk Lee, Junghun Lim, Jaewan Park, Chanjin Jeong, Hoon Han, Seonghwan Park, Yanghwa Lee, Sang Won Bae, Daehong Eom, Byoungmoon Yoon, Jihoon Jeong, Kyunghyun Kim, Kyounghwan Kim, ChangSup Mun, Se-Ho Cha, Yongsun Ko
  • Publication number: 20150021292
    Abstract: Disclosed is a polishing agent for synthetic quartz glass substrates, which is characterized by containing a colloidal solution of a colloidal silica or the like having a colloid concentration of 20-50% by mass, and a polycarboxylic acid polymer, an acidic amino acid, a phenol or a glycosaminoglycan.
    Type: Application
    Filed: October 9, 2014
    Publication date: January 22, 2015
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Daijitsu HARADA, Masaki TAKEUCHI, Yukio SHIBANO, Shuhei UEDA, Atsushi WATABE
  • Publication number: 20150024595
    Abstract: The present invention provides a chemical mechanical polishing method for polishing a substrate comprising silicon dioxide, silicon nitride, and polysilicon. The method comprises abrading a surface of the substrate with a CMP composition to remove at least some silicon dioxide, silicon nitride and polysilicon therefrom. The CMP composition comprising a particulate ceria abrasive suspended in an aqueous carrier having a pH of about 3 to 9.5 and containing a cationic polymer; wherein the cationic polymer consists of a quaternary methacryloyloxyalkylammonium polymer.
    Type: Application
    Filed: July 22, 2013
    Publication date: January 22, 2015
    Inventors: Dimitry Dinega, Kevin Moeggenborg, William Ward, Daniel Mateja
  • Publication number: 20150021513
    Abstract: A chemical mechanical polishing (CMP) slurry composition for polishing an organic layer and a method of forming a semiconductor device using the same are disclosed. The CMP slurry composition may include from 0.001% to 5% by weight of oxide-polishing particles; from 0.1% to 5% by weight of an oxidant; from 0% to 5% by weight of a polishing regulator; from 0% to 3% by weight of a surfactant; from 0% to 3% by weight of a pH regulator; and from 79% to 99.889% by weight of deionized water. The use of the CMP slurry composition makes it possible to allow a silicon-free organic layer to be polished with a selectivity higher than 6:1 with respect to an oxide layer.
    Type: Application
    Filed: June 23, 2014
    Publication date: January 22, 2015
    Inventors: Yun-Jeong KIM, Sang-Kyun KIM, Kwang-Bok KIM, Ye-Hwan KIM, Jung-Sik CHOI, Choong-Ho HAN, Gi-Sik HONG
  • Publication number: 20150024596
    Abstract: The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, and a specific glycerin compound.
    Type: Application
    Filed: February 14, 2013
    Publication date: January 22, 2015
    Inventors: Hisataka Minami, Toshiaki Akutsu, Tomohiro Iwano, Koji Fujisaki
  • Patent number: 8936672
    Abstract: Disclosed are various methods, kits, and compositions in the field of electroless nickel plating and chemical polishing. An electroless nickel plating composition may include a surfactant-brightener; a coupler; a bismuth metallic stabilizer; and organosulfur stabilizer and a bismuth complexer. Prior to plating, a substrate may be polished with a polishing composition that includes a surface blocker and a surface leveler. When practiced in accordance with the preferred teachings described herein, the electroless nickel plating composition is capable of providing a mirror-bright, lustrous finish, and has good leveling properties. The composition may be made without lead or cadmium.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: January 20, 2015
    Assignee: Accu-Labs, Inc.
    Inventors: Peter Tremmel, Orville Broch, Stephen Brent Cornwell
  • Publication number: 20150017275
    Abstract: A fine concavo-convex structure product (10) is provided with an etching layer (11), and a resist layer (12) comprised of a heat-reactive resist material for dry etching provided on the etching layer (11), a concavo-convex structure associated with opening portions (12a) formed in the resist layer (12) is formed in the etching layer (11), a pattern pitch P of a fine pattern of the concavo-convex structure ranges from 1 nm to 10 ?m, a pattern depth H of the fine pattern ranges from 1 nm to 10 ?m, and a pattern cross-sectional shape of the fine pattern is a trapezoid, a triangle or a mixed shape thereof. The heat-reactive resist material for dry etching has, as a principal constituent element, at least one species selected from the group consisting of Cu, Nb, Sn, Mn, oxides thereof, nitrides thereof and NiBi.
    Type: Application
    Filed: January 24, 2013
    Publication date: January 15, 2015
    Inventor: Yoshimichi Mitamura
  • Publication number: 20150017454
    Abstract: Chemical mechanical polishing composition is provided. The composition comprises (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) a protein, and (C) an aqueous medium.
    Type: Application
    Filed: January 25, 2013
    Publication date: January 15, 2015
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Bastian Marten Noller, Michael Lauter, Roland Lange
  • Publication number: 20150014579
    Abstract: A polishing composition contains: silicon dioxide having an average primary particle diameter of 40 nm or more as calculated from the specific surface area determined by the BET method; a nitrogen-containing water-soluble polymer; and a basic compound. The value of B/A is 1 or more and less than 7,000 and the value of C/A is 5,000 or more and less than 1,500,000 when in one liter of the polishing composition, A is defined as the number of silicon dioxide, B is defined as the number of monomer units of the nitrogen-containing water-soluble polymer, and C is defined as the number of molecules of the basic compound. Alternatively, the value of B/A is 1 or more and less than 7,000 and the value of C/A is 5,000 or more and less than 100,000. The polishing composition is used, for example, for polishing a semiconductor substrate.
    Type: Application
    Filed: February 5, 2013
    Publication date: January 15, 2015
    Inventors: Toshihiro Miwa, Hiroyuki Oda, Shinichiro Takami, Shuhei Takahashi, Yutaka Inoue
  • Publication number: 20150017806
    Abstract: The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, polyalkylene glycol, and at least one cationic polymer selected from the group consisting of allylamine polymers, diallylamine polymers, vinylamine polymers and ethyleneimine polymers.
    Type: Application
    Filed: February 14, 2013
    Publication date: January 15, 2015
    Inventors: Toshiaki Akutsu, Hisataka Minami, Tomohiro Iwano, Koji Fujisaki
  • Patent number: 8932479
    Abstract: Provided is a polishing liquid which is used for chemical mechanical polishing of a body to be polished having a layer containing polysilicon or a modified polysilicon, and using which the polishing rate of a layer containing a silicon-based material other than polysilicon is high and polishing of the layer containing polysilicon can be selectively suppressed. The polishing liquid includes components (A), (B), and (C), has a pH of from 1.5 to 7.0, and is capable of selectively polishing a second layer with respect to a first layer: (A) colloidal silica particles having a negative ? potential; (B) phosphoric acid or an organic phosphonic acid compound represented by the following Formula (1) or (2); and (C) an anionic surfactant having at least one group represented by the following Formulae (I) to (IV): R2—C(R3)3-a—(PO3H2)a??Formula (1): R4—N(R5)m—(CH2—PO3H2)n??Formula (2): —PO3X2??Formula (I): —OPO3X2??Formula (II): —COOX??Formula (III): —SO3X??Formula (IV).
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: January 13, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Tetsuya Kamimura
  • Patent number: 8926859
    Abstract: A polishing composition for a silicon wafer includes a macromolecular compound, an abrasive, and an aqueous medium. The macromolecular compound includes a constitutional unit (a1) represented by the following general formula (1), a constitutional unit (a2) represented by the following general formula (2), and a constitutional unit (a3) represented by the following general formula (3). The total of the constitutional unit (a3) is 0.001 to 1.5 mol % of all the constitutional units of the macromolecular compound.
    Type: Grant
    Filed: July 5, 2010
    Date of Patent: January 6, 2015
    Assignee: Kao Corporation
    Inventors: Masahiko Suzuki, Mami Okamura, Toshiaki Oi
  • Publication number: 20150004788
    Abstract: Provided are novel chemical mechanical polishing (CMP) slurry compositions for polishing copper substrates and method of using the CMP compositions. The CMP slurry compositions deliver superior planarization with high and tunable removal rates and low defects when polishing bulk copper layers of the nanostructures of IC chips. The CMP slurry compositions also offer the high selectivity for polishing copper relative to the other materials (such as Ti, TiN, Ta, TaN, and Si), suitable for through-silicon via (TSV) CMP process which demands high copper film removal rates.
    Type: Application
    Filed: June 27, 2013
    Publication date: January 1, 2015
    Inventors: Xiaobo SHI, Krishna MURELLA, James Allen SCHLUETER, Jae Ouk CHOO
  • Patent number: 8920667
    Abstract: The invention provides a chemical-mechanical polishing composition and a method of chemically-mechanically polishing a substrate with the chemical-mechanical polishing composition. The polishing composition comprises (a) abrasive particles, wherein the abrasive particles comprise zirconia, (b) at least one metal ion oxidizer, wherein the at least one metal ion oxidizer comprises metal ions of Co3+, Au+, Ag+, Pt2+, Hg2+, Cr3+, Fe3+, Ce4+, or Cu2+, and (c) an aqueous carrier, wherein the pH of the chemical-mechanical polishing composition is in the range of about 1 to about 7, and wherein the chemical-mechanical polishing composition does not contain a peroxy-type oxidizer.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: December 30, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine, Matthias Stender
  • Patent number: 8921296
    Abstract: Methods and systems for cleaning corrosion product of a metallic capping layer from the surface of a substrate are provided. According to one embodiment, a treatment solution includes a surfactant, a complexing agent, and a pH adjuster. The surfactant is configured to enhance wetting of the substrate surface, and inhibit further corrosion of the capping layer. The complexing agent is configured to bind to metal ions which have desorbed from the substrate surface. The pH adjuster is configured to adjust the pH to a desired level, so as to promote desorption of the corrosion product from the substrate surface.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: December 30, 2014
    Assignee: Lam Research Corporation
    Inventor: Artur Kolics
  • Publication number: 20140374378
    Abstract: Methods for removing, reducing or treating the trace metal contaminants and the smaller fine sized cerium oxide particles from cerium oxide particles, cerium oxide slurry or chemical mechanical polishing (CMP) compositions for Shallow Trench Isolation (STI) process are applied. The treated chemical mechanical polishing (CMP) compositions, or the CMP polishing compositions prepared by using the treated cerium oxide particles or the treated cerium oxide slurry are used to polish substrate that contains at lease a surface comprising silicon dioxide film for STI (Shallow trench isolation) processing and applications. The reduced nano-sized particle related defects have been observed due to the reduced trace metal ion contaminants and reduced very smaller fine cerium oxide particles in the Shallow Trench Isolation (STI) CMP polishing.
    Type: Application
    Filed: September 10, 2014
    Publication date: December 25, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Xiaobo Shi, John Edward Quincy Hughes, Hongjun Zhou, Daniel Hernandez Castillo, II, Jae Ouk Choo, James Allen Schlueter, Jo-Ann Theresa Schwartz, Laura Ledenbach, Steven Charles Winchester, Saifi Usmani, John Anthony Marsella, Martin Kamau Ngigi Mungai
  • Patent number: 8916061
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising a ceria abrasive, cations of one or more lanthanide metals, one or more nonionic polymers, water, and optionally one or more additives. The invention further relates to a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate comprises one or more of silicon oxide, silicon nitride, and polysilicon.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: December 23, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Brian Reiss, Michael Willhoff, Daniel Mateja
  • Patent number: 8916479
    Abstract: Provided are methods for processing semiconductor substrates having titanium nitride (TiN) structures as well as aluminum (Al) structures and, in some embodiments, other structures, such as silicon germanium (SiGe), tantalum nitride (TaN), hafnium oxide (HfOx), silicon nitride (SiN), and/or silicon oxide (SiO2) structures. Etching solutions and processing conditions described herein provide high etching selectivity of titanium nitride relative to these other materials. As such, the titanium nitride structures can be removed (partially or completely) without significant damage to these other structures. In some embodiments, the etching rate of titanium nitride is at least about 200 Angstroms per minute and even at least about 350 Angstroms per minute, while the etching rate of aluminum and/or other materials is less than 15 Angstroms per minute. An etching solution may be kept at 40° C. to 65° C. and may include ammonium hydroxide and hydrogen peroxide (between 1:600 and 1:3,000 by weight).
    Type: Grant
    Filed: June 10, 2013
    Date of Patent: December 23, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Gregory Nowling
  • Patent number: 8911558
    Abstract: A post-W CMP cleaning solution consists of carboxylic acid and deionized water. The carboxylic acid may be selected from the group consisting of (1) monocarboxylic acids; (2) dicarboxylic acids; (3) tricarboxylic acids; (4) polycarboxylic acids; (5) hydroxycarboxylic acids; (6) salts of the above-described carboxylic acids; and (7) any combination thereof. The post-W CMP cleaning solution can work well without adding any other chemical additives such as surfactants, corrosion inhibitors, pH adjusting agents or chelating agents.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: December 16, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Hongqi Li, Anurag Jindal, Jin Lu