With Electrical Contact In Hole In Semiconductor (e.g., Lead Extends Through Semiconductor Body) Patents (Class 257/621)
  • Patent number: 10692836
    Abstract: A semiconductor device has a plurality of first semiconductor die with an encapsulant deposited over a first surface of the first semiconductor die and around the first semiconductor die. An insulating layer is formed over the encapsulant and over a second surface of the first semiconductor die opposite the first surface. The insulating layer includes openings over the first semiconductor die. A first conductive layer is formed over the first semiconductor die within the openings. A second conductive layer is formed over the first conductive layer to form vertical conductive vias. A second semiconductor die is disposed over the first semiconductor die and electrically connected to the first conductive layer. A bump is formed over the second conductive layer outside a footprint of the first semiconductor die. The second semiconductor die is disposed over an active surface or a back surface of the first semiconductor die.
    Type: Grant
    Filed: November 30, 2017
    Date of Patent: June 23, 2020
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventor: Rajendra D. Pendse
  • Patent number: 10685917
    Abstract: A semiconductor device and a manufacture method of the semiconductor device are provided. In the semiconductor device, a back surface of a substrate is covered with a first insulating layer, where the first insulating layer covers the bottom and the sidewall of a through hole and the back surface of the substrate outside the through hole. The first insulating layer outside the through hole is covered with a second insulating layer. When etching the first insulating layer at the bottom of the through hole, although an etching speed for a region outside the through hole is greater than an etching speed for the bottom of the through hole, the first insulating layer outside the through hole is protected from being over-etched by the second insulating layer, which improves reliability of the device.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: June 16, 2020
    Assignee: China Wafer Level CSP Co., Ltd.
    Inventor: Zhiqi Wang
  • Patent number: 10679924
    Abstract: A semiconductor device is disclosed. The semiconductor device includes a substrate; an active circuit portion including at least an active component and formed on a topside of the semiconductor device; and a radiating metal sheet formed on a backside of the semiconductor device. A hole is formed within the substrate and the hole penetrates through the substrate. The active circuit portion and the radiating metal sheet are coupled through the hole.
    Type: Grant
    Filed: March 5, 2018
    Date of Patent: June 9, 2020
    Assignee: WIN Semiconductors Corp.
    Inventors: Chih-Wen Huang, Jui-Chieh Chiu
  • Patent number: 10667410
    Abstract: A method of making a fusion bonded circuit structure. Each major surface of an LCP substrate is provided with a seed layers of a conductive material. Resist layers are deposited on the seed layers. The resist layers are processed to create recesses corresponding to a desired circuitry layers on each side of the LCP substrate. The recesses expose portions of the seed layers of conductive material. The LCP substrate is electroplated to simultaneously create conductive traces defined by the first recesses on both sides of the LCP substrate. The resist layers are removed to reveal the conductive traces. The LCP substrate is etched to remove exposed portions of the seed layers adjacent the conductive traces. LCP layers are fusion bonded to the major surfaces of the LCP substrate to encapsulate the conductive traces in an LCP material. The LCP layers can be laser drilled to expose the conductive traces.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: May 26, 2020
    Assignee: HSIO Technologies, LLC
    Inventor: James J. Rathburn
  • Patent number: 10665541
    Abstract: At least one opening having a biconvex shape is formed into a dielectric material layer. A void-free metallization region (interconnect metallic region and/or metallic contact region) is provided to each of the openings. The void-free metallization region has the biconvex shape and exhibits a low wire resistance.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: May 26, 2020
    Assignee: International Business Machines Corporation
    Inventors: Praneet Adusumilli, Alexander Reznicek, Oscar van der Straten
  • Patent number: 10658229
    Abstract: A method of manufacturing a semiconductor device includes bonding a first semiconductor wafer including a first substrate and a first insulating layer formed to contact one surface of the first substrate, and a second semiconductor wafer including a second substrate and a second insulating layer, forming a third insulating layer, performing etching so that the second insulating layer remains on a second wiring layer, forming a first connection hole, forming an insulating film on the first connection hole, performing etching of the second insulating layer and the insulating film, forming a second connection hole, and forming a first via formed in inner portions of the connection holes and connected to the second wiring layer, wherein a diameter of the first connection hole formed on the other surface of the first substrate is greater than a diameter of the first connection hole formed on the third insulating layer.
    Type: Grant
    Filed: February 27, 2019
    Date of Patent: May 19, 2020
    Assignee: Sony Corporation
    Inventor: Masaki Okamoto
  • Patent number: 10643871
    Abstract: A transfer head, a transfer head array, and a method for transferring an inorganic light-emitting diode are provided. The transfer head for transferring an inorganic light-emitting diode includes a first groove and a second groove. The first groove and the second groove are arranged sequentially in a first direction, and are connected to each other. The first groove is configured to provide an inlet and an outlet for the inorganic light-emitting diode to enter and exit the transfer head. After the inorganic light-emitting diode enters the second groove through the first groove, at least a partial structure of the inorganic light-emitting diode is confined in the second groove. Picking up and transferring the inorganic light-emitting diode is realized by the transfer head with a simple structure.
    Type: Grant
    Filed: October 30, 2018
    Date of Patent: May 5, 2020
    Assignee: SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD.
    Inventor: Zeshang He
  • Patent number: 10636678
    Abstract: Methods for forming semiconductor die assemblies with heat transfer features are disclosed herein. In some embodiments, the methods comprise providing a wafer having a first side and a second side opposite the first side, attaching a semiconductor die stack to the first side of the wafer, and forming a plurality of heat transfer features at the second side of the wafer. The heat transfer features can be defined by a plurality of grooves that define an exposed continuous surface of the wafer at the second side compared to a planar surface of the wafer.
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: April 28, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Wei Zhou, Zhaohui Ma, Aibin Yu
  • Patent number: 10622397
    Abstract: A semiconductor layer includes an opening, and in a joint surface between structures, a portion between a semiconductor layer and an opening in a direction in which the semiconductor layers are stacked together includes a plurality of conductor portions and an insulator portion located between the plurality of conductor portions in a direction orthogonal to the direction.
    Type: Grant
    Filed: November 16, 2018
    Date of Patent: April 14, 2020
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Hideaki Ishino, Takumi Ogino
  • Patent number: 10615174
    Abstract: A method comprises forming material to be etched over a substrate. An etch mask comprising a silicon nitride-comprising region is formed elevationally over the material. The etch mask comprises an elevationally-extending mask opening in the silicon nitride-comprising region that has a minimum horizontal open dimension that is greater in an elevationally-innermost portion of the region than in an elevationally-outermost portion of the region. The elevationally-outermost portion has a greater etch rate in at least one of HF and H3PO4 than does the elevationally-innermost portion. The etch mask is used as a mask while etching an elevationally-extending mask opening into the material. The silicon nitride-comprising region is exposed to at least one of HF and H3PO4 to increase the minimum horizontal open dimension in the elevationally-outermost portion to a greater degree than increase, if any, in the minimum horizontal open dimension in the elevationally-innermost portion.
    Type: Grant
    Filed: June 7, 2019
    Date of Patent: April 7, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Fei Wang, Tom J. John, Kunal Shrotri, Anish A. Khandekar, Aaron R. Wilson, John D. Hopkins, Derek F. Lundberg
  • Patent number: 10607965
    Abstract: A stacked semiconductor device includes a plurality of semiconductor dies stacked in a first direction, M data paths electrically connecting the plurality of semiconductor dies, one data path including one or more through-silicon vias, where M is a positive integer, a transmission circuit including M serialization units configured to serialize P transmission signals to M serial signals and output the M serial signals to the M data paths, respectively, where P is a positive integer greater than M and a reception circuit including M parallelization units configured to receive the M serial signals from the M data paths and parallelize the M serial signals to P reception signals corresponding to the P transmission signals. The number of the through-silicon vias is reduced by serializing the transmission signals, transferring the serialized signals through the smaller number of data paths between the stacked semiconductor dies and then parallelizing the transferred signals.
    Type: Grant
    Filed: July 25, 2018
    Date of Patent: March 31, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Haesuk Lee, So-Young Kim, Seung-Han Woo
  • Patent number: 10607888
    Abstract: A conductive through-plating for a substrate includes a metal component, a first conductive structure situated on or in the environment of a surface of the substrate, and a second conductive structure situated on or in the environment of a further surface of the substrate. A method for producing the through-plating includes, in a first step, at least partially applying above the surface a grid structure that includes a group of openings; in a second step following the first step, carrying out an etching producing a trench in the substrate and at least partially also underneath the group of openings; and, in a fifth step following the second step, carrying out a metallization situating a metal component at least partially in the trench such that the metal component is part of a seal sealing the trench in the area of the surface.
    Type: Grant
    Filed: April 6, 2018
    Date of Patent: March 31, 2020
    Assignee: Robert Bosch GmbH
    Inventors: Christoph Schelling, Johannes Classen, Simon Genter
  • Patent number: 10607947
    Abstract: A semiconductor device includes a metallization system positioned above a substrate and a die seal positioned at least in the metallization system and delimiting a die region. The die seal includes a via line feature having an axial length and including one or more first portions having a first target dimension and one or more second portions along the axial length. The one or more second portions have a second target dimension less than the first target dimension.
    Type: Grant
    Filed: June 4, 2018
    Date of Patent: March 31, 2020
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Dirk Breuer, Maik Liebau, Matthias Lehr
  • Patent number: 10600748
    Abstract: A fan-out semiconductor package includes: a first interconnection member having a through-hole; a semiconductor chip disposed in the through-hole and having an active surface having connection pads disposed thereon and an inactive surface opposing the active surface; an encapsulant encapsulating at least portions of the first interconnection member and the inactive surface of the semiconductor chip; a second interconnection member disposed on the first interconnection member and the active surface of the semiconductor chip; and a passivation layer disposed on the second interconnection member.
    Type: Grant
    Filed: December 13, 2016
    Date of Patent: March 24, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Doo Hwan Lee, Jong Rip Kim, Hyoung Joon Kim, Jin Yul Kim, Kyung Seob Oh
  • Patent number: 10600708
    Abstract: An electronic package and a method for fabricating the same are provided. The method includes disposing on a carrier an electronic component having a plurality of conductors, encapsulating the electronic component with an encapsulant, and disposing an electronic device on the encapsulant. The electronic device and the carrier are electrically connected through the conductors, thereby reducing the overall thickness of the electronic package.
    Type: Grant
    Filed: October 25, 2018
    Date of Patent: March 24, 2020
    Assignee: Siliconware Precision Industries Co., Ltd.
    Inventors: Wen-Shan Tsai, Chee-Key Chung, Chang-Fu Lin
  • Patent number: 10580727
    Abstract: A through-hole electrode substrate includes a substrate including a through-hole extending from a first aperture of a first surface to a second aperture of a second surface, an area of the second aperture being larger than that of the first aperture, the through-hole having a minimum aperture part between the first aperture and the second aperture, wherein an area of the minimum aperture part in a planer view is smallest among a plurality of areas of the through-hole in a planer view, a filler arranged within the through-hole, and at least one gas discharge member contacting the filler exposed to one of the first surface and the second surface.
    Type: Grant
    Filed: February 4, 2019
    Date of Patent: March 3, 2020
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventors: Satoru Kuramochi, Sumio Koiwa, Hidenori Yoshioka
  • Patent number: 10578939
    Abstract: A display panel includes an array substrate, an opposite substrate facing the array substrate, and a liquid crystal layer disposed between the array substrate and the opposite substrate. The array substrate includes a display area and a non-display area surrounding the display area, and the non-display area includes a first non-display area disposed adjacent to a side portion of the display area and a second non-display area other than the first non-display area. The first non-display area overlaps the opposite substrate. The array substrate and the opposite substrate have the same or substantially the same area and a wire member is disposed under the array substrate to be connected to an external circuit module. Accordingly, the display panel does not need an extra space for the wire member, and thus the non-display area is reduced.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: March 3, 2020
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jin-Soo Jung, Young Gu Kim, Byoung-Hun Sung, Baekkyun Jeon
  • Patent number: 10553488
    Abstract: Devices and methods for forming a device are disclosed. The method includes providing a substrate having first and second surfaces. At least one through silicon via (TSV) opening is formed in the substrate. The TSV opening extends through the first and second surfaces of the substrate. An alignment trench corresponding to an alignment mark is formed in the substrate. The alignment trench extends from the first surface of the substrate to a depth shallower than a depth of the TSV opening. A dielectric liner layer is provided over the substrate. The dielectric liner layer at least lines sidewalls of the TSV opening. A conductive layer is provided over the substrate. The conductive layer fills at least the TSV opening to form TSV contact. A redistribution layer (RDL) is formed over the substrate. The RDL layer is patterned using a reticle to form at least one opening which corresponds to a TSV contact pad. The reticle is aligned using the alignment mark in the substrate.
    Type: Grant
    Filed: September 21, 2017
    Date of Patent: February 4, 2020
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Shunqiang Gong, Juan Boon Tan, Shijie Wang, Mahesh Bhatkar, Daxiang Wang
  • Patent number: 10546802
    Abstract: A substrate contact plug which is connected to a wiring and a semiconductor substrate and does not form a circuit is formed in a seal ring region in a peripheral portion of a semiconductor chip region. The substrate contact plug is buried in a trench which is deeper than an element isolation trench.
    Type: Grant
    Filed: February 28, 2018
    Date of Patent: January 28, 2020
    Assignee: Renesas Electronics Corporation
    Inventors: Hiroaki Sekikawa, Shigeo Tokumitsu, Asuka Komuro
  • Patent number: 10546779
    Abstract: A through substrate via (TSV) and method of forming the same are provided. The method of making the TSV may include etching a via opening into the backside of semiconductor substrate, the via opening exposing a surface of a metal landing structure. A conductive layer is deposited over the backside of semiconductor substrate, sidewalls of the via opening, and exposed surface of the metal landing structure. The conductive layer is coated with a polymer material, filling the via opening. The polymer material is developed to remove the polymer material from the backside of semiconductor substrate, leaving the via opening filled with undeveloped polymer material. A planar backside surface of semiconductor substrate is formed by removing the conductive layer.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: January 28, 2020
    Assignee: NXP USA, INC.
    Inventors: Qing Zhang, Lianjun Liu
  • Patent number: 10541207
    Abstract: At least one opening having a biconvex shape is formed into a dielectric material layer. A void-free metallization region (interconnect metallic region and/or metallic contact region) is provided to each of the openings. The void-free metallization region has the biconvex shape and exhibits a low wire resistance.
    Type: Grant
    Filed: December 28, 2018
    Date of Patent: January 21, 2020
    Assignee: International Business Machines Corporation
    Inventors: Praneet Adusumilli, Alexander Reznicek, Oscar van der Straten
  • Patent number: 10515933
    Abstract: A method of manufacturing a semiconductor substrate structure for use in a semiconductor substrate stack system is presented. The method includes a semiconductor substrate which includes a front-face, a backside, a bulk layer, an interconnect layer that includes a plurality of inter-metal dielectric layers sandwiched between conductive layers, a contact layer that is between the bulk layer and the interconnect layer, and a TSV structure commencing between the bulk layer and the contact layer and terminating at the backside of the substrate. The TSV structure is electrically coupled to the interconnect layer and the TSV structure is electrically coupled to a bonding pad on the backside.
    Type: Grant
    Filed: March 21, 2017
    Date of Patent: December 24, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Pin Chang, Weng-Jin Wu, Wen-Chih Chiou, Chen-Hua Yu
  • Patent number: 10510672
    Abstract: A semiconductor package and a method manufacturing the same are disclosed. At least one semiconductor chip is mounted on a package substrate. An insulative mold layer is formed at sides of the semiconductor chip having at least one recess in a region in which conductive connection members are formed, the recess defining one or more protrusions within the mold layer. An interposer is positioned on the protrusions with the conductive connection members connecting and providing electrical connections between conductive pads on the upper surface of the package and conductive pads on the lower surface of the package substrate. The protrusions may position the interposer in the vertical direction by defining the vertical spacing between the lower surface of the interposer and the upper surface of the package substrate. The protrusions may also position the interposer in one or more horizontal directions and/or prevent substantial movement during connecting of the interposer to the package substrate.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: December 17, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-Uk Kim, Sunchul Kim, Jinkyeong Seol, Byoung Wook Jang
  • Patent number: 10497688
    Abstract: A semiconductor device according to an embodiment includes a first memory chip having a first front surface and a first back surface and having a first memory circuit provided on the first front surface side; a second memory chip having a second front surface and a second back surface facing the first front surface, having a second memory circuit provided on the second front surface side, and being electrically connected to the first memory chip; and a logic chip having the first memory chip provided between the logic chip and the second memory chip, having a third front surface and a third back surface, having a logic circuit provided on the third front surface side, and being electrically connected to the first memory chip.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: December 3, 2019
    Assignee: Toshiba Memory Corporation
    Inventors: Satoshi Tsukiyama, Yoichiro Kurita, Hideo Aoki, Kazushige Kawasaki
  • Patent number: 10476318
    Abstract: A battery-embedded device includes a substrate having a wiring, a coil fixed to the substrate, a battery fixed to the substrate, and a first temperature detecting element that is disposed on the substrate and configured to detect a temperature of the battery. An occupancy rate of the wiring in a first region of the substrate which is immediately below the first temperature detecting element is lower than an occupancy rate of the wiring in a second region of the substrate other than the first region.
    Type: Grant
    Filed: October 6, 2015
    Date of Patent: November 12, 2019
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Takashi Inoue, Hiroshi Yajima, Shinichiro Ito, Katsuya Hagiwara
  • Patent number: 10460959
    Abstract: A manufacturing method of a package structure is provided. The method includes the following steps. A semiconductor chip is bonded on a carrier, wherein the semiconductor chip comprises a plurality of conductive pads. An insulating material layer is formed over the carrier and encapsulating the semiconductor chip, wherein a thickness of the insulating material layer is greater than a thickness of the semiconductor chip. A first surface of the insulating material layer is patterned to form first openings that expose the conductive pads of the semiconductor chip, and second openings that penetrate through the insulating material layer. A plurality of conductive posts is formed in the first openings, wherein the plurality of conductive posts is electrically connected to the plurality of conductive pads of the semiconductor chip. A plurality of conductive vias is formed in the second opening.
    Type: Grant
    Filed: March 15, 2018
    Date of Patent: October 29, 2019
    Assignee: Powertech Technology Inc.
    Inventors: Kun-Yung Huang, Yen-Ju Chen
  • Patent number: 10460921
    Abstract: A layer stack over a substrate is etched using a photoresist pattern deposited on the layer stack as a first mask. The photoresist pattern is in-situ cured using plasma. At least a portion of the photoresist pattern can be modified by curing. In one embodiment, silicon by-products are formed on the photoresist pattern from the plasma. In another embodiment, a carbon from the plasma is embedded into the photoresist pattern. In yet another embodiment, the plasma produces an ultraviolet light to cure the photoresist pattern. The cured photoresist pattern is slimmed. The layer stack is etched using the slimmed photoresist pattern as a second mask.
    Type: Grant
    Filed: August 6, 2013
    Date of Patent: October 29, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Kyeong Tae Lee, Sang Wook Kim, Daehee Weon, Sang-jun Choi, Sreekar Bhaviripudi, Jahyong Kuh
  • Patent number: 10420171
    Abstract: An integrated circuit device includes only semiconductor devices with a same first polarity on one side of an insulator layer and only semiconductor devices with a different second polarity on an opposite side of the insulator layer to reduce size and complexity of the integrated circuit device as well as reducing the process steps associated with fabricating the integrated circuit device. Shared contacts between backside source/drain regions or spacers of the semiconductor devices with the first polarity and front-side source/drain regions or spacers of the semiconductor devices with the first polarity are used to connect the semiconductor devices on opposite sides of the insulator layer.
    Type: Grant
    Filed: August 26, 2016
    Date of Patent: September 17, 2019
    Assignee: QUALCOMM Incorporated
    Inventor: Sinan Goktepeli
  • Patent number: 10403618
    Abstract: A semiconductor device assembly that includes a first side of a semiconductor device supported on a substrate to permit the processing of a second side of the semiconductor device. A filler material deposited on the semiconductor device supports the semiconductor device on the substrate. The filler material does not adhere to the semiconductor device or the substrate. Alternatively, the filler material may be deposited on the substrate. Instead of a filler material, the substrate may include a topography configured to support the semiconductor device. Adhesive applied between an outer edge of the first side of the semiconductor and the substrate bonds the outer edge of the semiconductor device to the substrate to form a semiconductor device assembly. A second side of the semiconductor device may then be processed and the outer edge of the semiconductor device may be cut off to release the semiconductor device from the assembly.
    Type: Grant
    Filed: September 21, 2017
    Date of Patent: September 3, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Chandra S. Tiwari, Tony M. Lindenberg, Jonathan S. Hacker, Christopher J. Gambee, Kurt J. Bossart
  • Patent number: 10396024
    Abstract: A wiring substrate includes a first insulating layer including a first through-hole formed through the first insulating layer in a thickness direction, a wiring layer formed on a lower surface of the first insulating layer, and a via wiring filled in the first through-hole and connected to the wiring layer, the via wiring having such a shape that it gradually becomes thinner from one side close to the lower surface of the first insulating layer toward the other side close to an upper surface of the first insulating layer, the via wiring including a first recess formed in an upper end surface of the via wiring. An upper end portion of the via wiring is an electrode pad for electric connection with an electronic component.
    Type: Grant
    Filed: June 20, 2017
    Date of Patent: August 27, 2019
    Assignee: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Kosuke Tsukamoto
  • Patent number: 10388681
    Abstract: The present disclosure relates to a solid-state image pickup apparatus and an electronic apparatus capable of preventing charges accumulated in a PD from being lost and suppressing reductions of an S/N and a dynamic range. The apparatus according to an embodiment of the present disclosure includes: a photoelectric conversion unit; a first holding unit that holds the charge transferred from the photoelectric conversion unit; a first transfer gate unit that controls the transfer of the charge; a charge drain unit that is a drain destination of the charge generated by the photoelectric conversion unit; a first drain gate unit that controls the transfer of the charge from the photoelectric conversion unit to the charge drain unit; and a second drain gate unit that connects the charge drain unit with a constant voltage source. The present disclosure can be applied to a CIS and an electronic apparatus provided with the CIS.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: August 20, 2019
    Assignee: Sony Semiconductor Solutions Corporation
    Inventor: Hiroyuki Ohri
  • Patent number: 10381377
    Abstract: A method comprises forming material to be etched over a substrate. An etch mask comprising a silicon nitride-comprising region is formed elevationally over the material. The etch mask comprises an elevationally-extending mask opening in the silicon nitride-comprising region that has a minimum horizontal open dimension that is greater in an elevationally-innermost portion of the region than in an elevationally-outermost portion of the region. The elevationally-outermost portion has a greater etch rate in at least one of HF and H3PO4 than does the elevationally-innermost portion. The etch mask is used as a mask while etching an elevationally-extending mask opening into the material. The silicon nitride-comprising region is exposed to at least one of HF and H3PO4 to increase the minimum horizontal open dimension in the elevationally-outermost portion to a greater degree than increase, if any, in the minimum horizontal open dimension in the elevationally-innermost portion.
    Type: Grant
    Filed: July 20, 2018
    Date of Patent: August 13, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Fei Wang, Tom J. John, Kunal Shrotri, Anish A. Khandekar, Aaron R. Wilson, John D. Hopkins, Derek F. Lundberg
  • Patent number: 10347592
    Abstract: An integrated circuit (IC) device includes a device layer and a passivation layer, where the passivation layer has vias formed in an interior region of the passivation layer that are larger than vias formed in a perimeter region of the passivation layer. As such, a varying diameter via layer is provided. The interior region vias may be configured to reduce a risk of damage to the IC device due to tensile stress, with sizes or shapes selected based on the amount of tensile stress expected to occur during subsequent use of the IC device. The perimeter region vias may be configured to reduce a risk of damage to the IC device due to sheer stress, with sizes or shapes selected based on the amount of sheer stress expected to occur during subsequent assembly or use of the IC device. Method and apparatus examples are described for use with flip-chip dies.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: July 9, 2019
    Assignee: QUALCOMM Incorporated
    Inventor: William Michael Stone
  • Patent number: 10347606
    Abstract: A method includes attaching a first-level device die to a dummy die, encapsulating the first-level device die in a first encapsulating material, forming through-vias over and electrically coupled to the first-level device die, attaching a second-level device die over the first-level device die, and encapsulating the through-vias and the second-level device die in a second encapsulating material. Redistribution lines are formed over and electrically coupled to the through-vias and the second-level device die. The dummy die, the first-level device die, the first encapsulating material, the second-level device die, and the second encapsulating material form parts of a composite wafer.
    Type: Grant
    Filed: May 25, 2018
    Date of Patent: July 9, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, An-Jhih Su, Wei-Yu Chen, Ying-Ju Chen, Tsung-Shu Lin, Chin-Chuan Chang, Hsien-Wei Chen, Wei-Cheng Wu, Li-Hsien Huang, Chi-Hsi Wu, Der-Chyang Yeh
  • Patent number: 10325946
    Abstract: A packaging method and a package for an image sensing chip are provided. The packaging method includes: providing a wafer including a first surface and a second surface opposite to the first surface, where the wafer has multiple image sensing chips arranged in a grid, each of the image sensing chips has an image sensing region and contact pads arranged on a side of the first surface; forming an opening corresponding to each of the contact pads and cutting trenches on a side of the second surface of the wafer, where the contact pad is exposed through the opening; filling the cutting trenches with a first photosensitive ink; and applying a second photosensitive ink on the second surface of the wafer to cover the opening with the second photosensitive ink and form a hollow cavity in the opening.
    Type: Grant
    Filed: September 28, 2016
    Date of Patent: June 18, 2019
    Assignee: China Wafer Level CSP Co., Ltd.
    Inventors: Zhiqi Wang, Zhuowei Wang, Guoliang Xie
  • Patent number: 10319673
    Abstract: An interconnection component includes a first support portion, a second support portion, a redistribution layer and a passive device, wherein at least one of the first and second support portions is comprised of a semiconductor material. The first support portion includes first and second opposed major surfaces and a plurality of first conductive vias extending through the first support portion substantially perpendicular to major surfaces. The second support portion includes first and second opposed major surfaces and a plurality of second conductive vias extending through the second support portion substantially perpendicular to the first and second major surfaces of the second support. The redistribution layer can be disposed between the second surfaces of the first and second support portions. The passive device can be positioned at least partially within the redistribution layer and electrically connected with one or more of the first conductive vias and the second conductive vias.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: June 11, 2019
    Assignee: Invensas Corporation
    Inventors: Belgacem Haba, Kishor Desai
  • Patent number: 10319611
    Abstract: A semiconductor device package includes a substrate having a first surface and a second surface facing away from the first surface, a conductive column extending in the substrate between the first surface and the second surface, a dielectric layer on the first surface of the substrate, a redistribution structure provided in the dielectric layer and electrically connected to the conductive column, a semiconductor chip provided above the dielectric layer and electrically connected to the redistribution structure, and an encapsulation layer on the dielectric layer and encapsulating the semiconductor chip. The package is manufactured such that each of the substrate and the encapsulation layer is formed of molding compound.
    Type: Grant
    Filed: October 18, 2017
    Date of Patent: June 11, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yinan Li
  • Patent number: 10319668
    Abstract: An integrated circuit includes first and second active regions extending in a first direction, a first gate line extending in a second direction substantially perpendicular to the first direction and crossing the first and second active regions, and a first contact jumper including a first conductive pattern intersecting the first gate line above the first active region and a second conductive pattern extending in the second direction above the first gate line and connected to the first conductive pattern.
    Type: Grant
    Filed: January 9, 2018
    Date of Patent: June 11, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Ho Do, Tae-Joong Song, Seung-Young Lee, Jong-Hoon Jung
  • Patent number: 10312389
    Abstract: An optical detector device may include a substrate, a reflector layer carried by the substrate, and a first dielectric layer over the reflector layer. The optical detector device may include a graphene layer over the first dielectric layer and having a perforated pattern.
    Type: Grant
    Filed: October 13, 2017
    Date of Patent: June 4, 2019
    Assignee: UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC.
    Inventors: Debashis Chanda, Alireza Safaei, Michael Leuenberger
  • Patent number: 10304818
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In some embodiments, a semiconductor device includes a first semiconductor chip including a first substrate and a first conductive feature formed over the first substrate, and a second semiconductor chip bonded to the first semiconductor chip. The second semiconductor chip includes a second substrate and a second conductive feature formed over the second substrate. A conductive plug is disposed through the first conductive feature and is coupled to the second conductive feature. The conductive plug includes a first portion disposed over the first conductive feature, the first portion having a first width, and a second portion disposed beneath or within the first conductive feature. The second portion has a second width. The first width is greater than the second width.
    Type: Grant
    Filed: December 8, 2017
    Date of Patent: May 28, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shu-Ting Tsai, Dun-Nian Yaung, Jen-Cheng Liu, Szu-Ying Chen, U-Ting Chen
  • Patent number: 10290495
    Abstract: According to one embodiment, an electronic apparatus includes a first substrate including a first basement and a first conductive layer, a second substrate including a second basement, which is opposed to the first conductive layer and is separated from the first conductive layer, a second conductive layer, and a first hole penetrating the second basement, and a connecting material which electrically connects the first conductive layer and the second conductive layer via the first hole.
    Type: Grant
    Filed: July 26, 2017
    Date of Patent: May 14, 2019
    Assignee: Japan Display Inc.
    Inventors: Yoshikatsu Imazeki, Shoji Hinata
  • Patent number: 10276514
    Abstract: A semiconductor structure includes a semiconductor device, a first seal ring, a second seal ring, and a plurality of through semiconductor vias (TSV). The semiconductor device has a first surface and a second surface opposite to the first surface. The first seal ring is disposed on the first surface of the semiconductor device and is adjacent to edges of the first surface. The second seal ring is disposed on the second surface of the semiconductor device and is adjacent to edges of the second surface. The TSVs penetrate through the semiconductor device and physically connect the first seal ring and the second seal ring.
    Type: Grant
    Filed: January 10, 2018
    Date of Patent: April 30, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Rung-De Wang, Chen-Hsun Liu, Chin-Yu Ku, Te-Hsun Pang, Chia-Hua Wang, Pei-Shing Tsai, Po-Chang Lin
  • Patent number: 10236208
    Abstract: The present disclosure relates to a semiconductor package structure and a method of manufacturing the same. The semiconductor package structure includes a semiconductor substrate having a first surface and a second surface opposite the first surface. The semiconductor substrate has a space extending from the second surface to the first surface and an insulation body is disposed in the space. The semiconductor package structure includes conductive posts in the insulation body.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: March 19, 2019
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Chin-Cheng Kuo, Pao-Nan Lee, Chih-Pin Hung, Ying-Te Ou
  • Patent number: 10211233
    Abstract: According to one embodiment, a display device includes a first substrate including a support substrate, a light shield, an insulating substrate disposed above the support substrate and the light shield and including a through hole, a pad electrode formed above the insulating substrate, and a signal line electrically connected to the pad electrode, and a first substrate including, in a plan view, a first area in which the support substrate and the light shield are disposed and a second area in which the through hole is disposed, a line substrate including a connection line and disposed below the insulating substrate, and a conductive material disposed inside the through hole to electrically connect the pad electrode and the connection line.
    Type: Grant
    Filed: December 1, 2016
    Date of Patent: February 19, 2019
    Assignee: Japan Display Inc.
    Inventors: Yasushi Kawata, Takumi Sano
  • Patent number: 10197872
    Abstract: A liquid crystal display apparatus includes a conductive paste, which connects a connection pad in a TFT array substrate and a transparent conductive film in a color filter substrate, and a ridge which is disposed adjacent to a conductive paste in a surrounding region of the TFT array substrate. A sealing member located between the color filter substrate and the TFT array substrate convexly extends in a direction from a display region to a surrounding region to form the ridge.
    Type: Grant
    Filed: September 27, 2016
    Date of Patent: February 5, 2019
    Assignee: Mitsubishi Electric Corporation
    Inventors: Takahiro Ueno, Hisatomo Ota, Shingo Sonoda
  • Patent number: 10199274
    Abstract: A method is provided for producing at least one electrical via in a substrate, the method comprising: producing a protective layer over a component structure which has been produced or is present on a front side of the substrate; forming at least one contact hole which extends from a surface of a backside of the substrate to a contact surface of the component structure; forming a metal-containing and thus conductive lining in the at least one contact hole creating a hollow electrically conductive structure in the at least one contact hole; and applying a passivation layer over the backside of the substrate, the passivation layer spanning over the hollow electrically conductive structure for forming the at least one electrical via. Also provided is a micro-technical component comprising at least one electrical via.
    Type: Grant
    Filed: April 10, 2017
    Date of Patent: February 5, 2019
    Assignee: X-FAB Semiconductor Foundries GmbH
    Inventors: Roy Knechtel, Sophia Dempwolf, Daniela Guenther, Uwe Schwarz
  • Patent number: 10192853
    Abstract: The present disclosure provides a method for preparing a semiconductor apparatus. The semiconductor apparatus includes a first semiconductor die and a second semiconductor die stacked onto the first semiconductor die in a horizontally shifted manner. The first semiconductor die includes a first chip selection terminal and a first lower terminal electrically connected to the first chip selection terminal. The second semiconductor die includes a second chip selection terminal electrically connected to a first upper terminal of the first semiconductor die via a second lower terminal of the second semiconductor die. The first upper terminal which is electrically connected to the second chip selection terminal is not electrically connected to the first lower terminal which is electrically connected to the first chip selection terminal.
    Type: Grant
    Filed: December 22, 2017
    Date of Patent: January 29, 2019
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Po-Chun Lin, Chin-Lung Chu
  • Patent number: 10192813
    Abstract: A hard macro includes a periphery defining a hard macro area and having a top and a bottom and a hard macro thickness from the top to the bottom, the hard macro including a plurality of vias extending through the hard macro thickness from the top to bottom. Also an integrated circuit having a top layer, a bottom layer and at least one middle layer, the top layer including a top layer conductive trace, the middle layer including a hard macro and the bottom layer including a bottom layer conductive trace, wherein the top layer conductive trace is connected to the bottom layer conductive trace by a via extending through the hard macro.
    Type: Grant
    Filed: January 29, 2013
    Date of Patent: January 29, 2019
    Assignee: QUALCOMM Incorporated
    Inventors: Kambiz Samadi, Shreepad A. Panth, Yang Du, Robert P. Gilmore
  • Patent number: 10173891
    Abstract: There is provided a MEMS device which includes a second substrate which is disposed with an interval from a first substrate, and an interposed member which is interposed between the first substrate and the second substrate, and which has space which is defined by the first substrate, the second substrate, and the interposed member, in which the first substrate includes a wiring which extends from a first surface side which is a surface on a side opposite to the second substrate toward a second surface side which is a surface of the second substrate side and is made of a conductor, in which an end portion of the first surface side of the wiring is covered by a first protective film provided on the first surface side, and in which an end portion of the second surface side of the wiring faces the space.
    Type: Grant
    Filed: September 7, 2017
    Date of Patent: January 8, 2019
    Assignee: Seiko Epson Corporation
    Inventor: Masashi Yoshiike
  • Patent number: 10170360
    Abstract: A reflow enhancement layer is formed in an opening prior to forming and reflowing a contact metal or metal alloy. The reflow enhancement layer facilitates the movement (i.e., flow) of the contact metal or metal alloy during a reflow anneal process such that a void-free metallization structure of the contact metal or metal alloy is provided.
    Type: Grant
    Filed: October 26, 2017
    Date of Patent: January 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Praneet Adusumilli, Alexander Reznicek, Oscar van der Straten