Three Or More Insulating Layers Patents (Class 257/637)
  • Publication number: 20120205720
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a tantalum silicon oxynitride film on a substrate for use in a variety of electronic systems. The tantalum silicon oxynitride film may be structured as one or more monolayers. The tantalum silicon oxynitride film may be formed using a monolayer or partial monolayer sequencing process. Metal electrodes may be disposed on a dielectric containing a tantalum silicon oxynitride film.
    Type: Application
    Filed: April 27, 2012
    Publication date: August 16, 2012
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Publication number: 20120193768
    Abstract: The present invention provides a multiple layer that comprises two or more first inorganic material layers; and one or more second inorganic material layers that are positioned between the two first inorganic material layers and have the thickness of less than 5 nm, in which the first inorganic material layer is formed of one or more materials that are selected from silicon oxides, silicon carbide, silicon nitride, aluminum nitride and ITO, and the second inorganic material layer is formed of one or more materials that are selected from magnesium, calcium, aluminum, gallium, indium, zinc, tin, barium, and oxides and fluorides thereof, a multiple film that comprises the multiple layer, and an electronic device that comprises the multiple film.
    Type: Application
    Filed: February 21, 2012
    Publication date: August 2, 2012
    Inventors: Jang-Yeon Hwang, Dong-Ryul Kim, Gi-Cheul Kim, Sang-Uk Ryu, Ho-Jun Lee, Seung-Lac Ma, Myeong-Geun Ko, Eun-Sil Lee
  • Patent number: 8232618
    Abstract: Disclosed are embodiments of a semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device in order to minimize parasitic capacitances (e.g., contact-to-contact capacitance, contact-to-diffusion region capacitance, gate-to-contact capacitance, gate-to-diffusion region capacitance, etc.). Specifically, the structure can comprise a semiconductor device on a substrate and at least three dielectric layers stacked above the semiconductor device. An air gap is positioned with the second dielectric layer aligned above the semiconductor device and extending vertically from the first dielectric layer to the third dielectric layer. Also disclosed are embodiments of a method of forming such a semiconductor structure using a self-assembly approach.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, David V. Horak, Elbert E. Huang, Charles W. Koburger, III, Douglas C. La Tulipe, Jr., Shom Ponoth
  • Patent number: 8227901
    Abstract: This invention is directed to solving problems with a mesa type semiconductor device, which are deterioration in a withstand voltage and occurrence of a leakage current caused by reduced thickness of a second insulation film on an inner wall of a mesa groove corresponding to a PN junction, and offers a mesa type semiconductor device of high withstand voltage and high reliability and its manufacturing method. After the mesa groove is formed by dry-etching, wet-etching with an etching solution including hydrofluoric acid and nitric acid is further applied to a sidewall of the mesa groove to form an overhang made of the first insulation film above an upper portion of the mesa groove. The overhang serves as a barrier to prevent the second insulation film formed in the mesa groove and on the first insulation film around the mesa groove beyond an area of the overhang from flowing toward a bottom of the mesa groove due to an increased fluidity resulting from a subsequent thermal treatment.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: July 24, 2012
    Assignees: SANYO Semiconductor Co., Ltd., SANYO Semiconductor Manufacturing Co., Ltd., Semiconductor Components Industries, LLC
    Inventors: Katsuyuki Seki, Akira Suzuki, Keita Odajima, Kikuo Okada, Koujiro Kameyama
  • Patent number: 8222747
    Abstract: A multilayer wiring substrate mounted with an electronic component includes an electronic component, a core material layer having a first opening for accommodating the electronic component, a resin layer which is formed on one surface of the core material layer and which has a second opening greater than the first opening, a supporting layer which is formed on the other surface of the core material layer and which supports the electronic component, a plurality of connection conductor sections which are provided around the first opening and within the second opening on the one surface of the core material layer, bonding wires for electrically connecting the electronic component to the connection conductor sections, and a sealing resin filled into the first and second openings in order to seal the electronic component and the bonding wires.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: July 17, 2012
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Yoshihiro Machida
  • Patent number: 8222648
    Abstract: A silicon carbide semiconductor device (90), includes: 1) a silicon carbide substrate (1); 2) a gate electrode (7) made of polycrystalline silicon; and 3) an ONO insulating film (9) sandwiched between the silicon carbide substrate (1) and the gate electrode (7) to thereby form a gate structure, the ONO insulating film (9) including the followings formed sequentially from the silicon carbide substrate (1): a) a first oxide silicon film (O) (10), b) an SiN film (N) (11), and c) an SiN thermally-oxidized film (O) (12, 12a, 12b). Nitrogen is included in at least one of the following places: i) in the first oxide silicon film (O) (10) and in a vicinity of the silicon carbide substrate (1), and ii) in an interface between the silicon carbide substrate (1) and the first oxide silicon film (O) (10).
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: July 17, 2012
    Assignees: Nissan Motor Co., Ltd., Rohm Co., Ltd.
    Inventors: Satoshi Tanimoto, Noriaki Kawamoto, Takayuki Kitou, Mineo Miura
  • Patent number: 8225240
    Abstract: Provided is a semiconductor device that can be reduced in size while variation in shape among circuit patterns is reduced. The semiconductor device includes multiple circuit patterns and first dummy patterns. The multiple circuit patterns are disposed at regular intervals, and are used as part of the circuit. The multiple circuit patterns consist of two outermost circuit patterns and the other inner circuit patterns. The first dummy patterns are disposed on outer sides of the two outermost circuit patterns, respectively. The distance between each of the outermost circuit patterns and the corresponding first dummy pattern is equal to a distance between any adjacent two of the circuit patterns. A width of each of the first dummy patterns is smaller than a width of any of the circuit patterns, and is equal to a minimum design rule width, for example.
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: July 17, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Takashi Tahata
  • Patent number: 8216894
    Abstract: A finFET structure is made by forming a fin (14), followed by a gate stack of gate dielectric (16), metal gate layer (18), polysilicon layer (20) and silicon-germanium layer (22). The gate stack is then patterned, and source and drain implants formed in the fin (14) away from the gate. The silicon germanium layer (22) is selectively etched away, a metal deposited over the gate, and silicidation carried out to convert the full thickness of the polysilicon layer (20) at the top of the fin. A region of unreacted polysilicon (38) may be left at the base of the fin and across the substrate.
    Type: Grant
    Filed: June 10, 2009
    Date of Patent: July 10, 2012
    Assignee: NXP B.V.
    Inventor: Robert J. P. Lander
  • Publication number: 20120161297
    Abstract: In a semiconductor integrated circuit sandwiched between a pair of a first impact resistance layer and a second impact resistance layer, an impact diffusion layer is provided between the semiconductor integrated circuit and the second impact resistance layer. By provision of the impact resistance layer against the external stress and the impact diffusion layer for diffusing the impact, force applied to the semiconductor integrated circuit per unit area is reduced, so that the semiconductor integrated circuit is protected. The impact diffusion layer preferably has a low modulus of elasticity and high breaking modulus.
    Type: Application
    Filed: March 9, 2012
    Publication date: June 28, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Shingo EGUCHI
  • Publication number: 20120146196
    Abstract: A semiconductor device includes a dielectric layer in which zirconium, hafnium, and a IV group element are mixed. A method for fabricating a capacitor includes forming a bottom electrode, forming the dielectric layer and forming a top electrode over the dielectric layer.
    Type: Application
    Filed: September 13, 2011
    Publication date: June 14, 2012
    Inventors: Kee-Jeung LEE, Kwon Hong, Kyung-Woong Park, Ji-Hoon Ahn
  • Patent number: 8187973
    Abstract: A method for manufacturing a semiconductor device which includes: alternately supplying a silicon source and an oxidant to deposit a silicon oxide film on a surface of a semiconductor substrate, wherein the silicon source is supplied under a supply condition where an adsorption amount of molecules of the silicon source on the semiconductor substrate is increased without causing an adsorption saturation of the molecules of the silicon source on the semiconductor substrate, and wherein the oxidant is supplied under a supply condition where impurities remain in the molecules of the silicon source adsorbed on the semiconductor substrate.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: May 29, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuyuki Sekine, Kazuhei Yoshinaga
  • Publication number: 20120112289
    Abstract: A semiconductor device structure includes a substrate having a transistor thereon; a multi-layer contact etching stop layer (CESL) structure covering the transistor, the multi-layer CESL structure comprising a first CESL and a second CESL; and a dielectric layer on the second CESL. The first CESL is made of a material different from that of the second CESL, and the second CESL is made of a material different from that of the dielectric layer.
    Type: Application
    Filed: November 4, 2010
    Publication date: May 10, 2012
    Inventors: Tien-Chang Chang, Jing-Hao Chen, Ming-Tzong Yang
  • Publication number: 20120074537
    Abstract: A method of forming a device is disclosed. The method includes providing a substrate and forming a device layer on the substrate having a formed thickness TFD. A capping layer is formed on the substrate having a formed thickness TFC. Forming the capping layer consumes a desired amount of the device layer to cause the thickness of the device layer to be about the target thickness TTD. The thickness of the capping layer is adjusted from TFC to about a target thickness TTC.
    Type: Application
    Filed: September 23, 2010
    Publication date: March 29, 2012
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Sung Mun JUNG, Swee Tuck WOO, Sanford CHU, Liang Choo HSIA
  • Patent number: 8138578
    Abstract: A method and system for providing a twin well in a semiconductor device is described. The method and system include providing at least one interference layer and providing a first mask that covers a first portion of the semiconductor device and uncovers a second portion of the semiconductor device. The first and second portions of the semiconductor device are adjacent. The method and system also include implanting a first well in the second portion of the semiconductor device after the first mask is provided. The method and system also include providing a second mask. The interference layer(s) are configured such that energy during a blanket exposure develops the second mask that uncovers the first portion and covers the second portion of the semiconductor device. The method and system also include implanting a second well in the first portion of the semiconductor device after the second mask is provided.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: March 20, 2012
    Assignee: Atmel Corporation
    Inventors: Gayle W. Miller, Jr., Bryan D. Sendelweck
  • Patent number: 8120031
    Abstract: A structure for preventing deteriorations of a light-emitting device and retaining sufficient capacitor elements (condenser) required by each pixel is provided. A first passivation film, a second metal layer, a flattening film, a barrier film, and a third metal layer are stacked in this order over a transistor. A side face of a first opening provided with the flattening film is covered by the barrier film, a second opening is formed inside the first opening, and a third metal layer is connected to a semiconductor via the first opening and the second opening. A capacitor element that is formed of a lamination of a semiconductor of a transistor, a gate insulating film, a gate electrode, the first passivation film, and the second metal layer is provided.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: February 21, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Takayama, Satoshi Murakami, Hajime Kimura
  • Patent number: 8119519
    Abstract: A method for making a semiconductor device including at least three interconnection layers sequentially stacked without intervention of a via layer. At least one of the interconnection layers includes an interconnection and a via which connects interconnections provided in interconnection layers underlying and overlying the one interconnection layer.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: February 21, 2012
    Assignee: Rohm Co., Ltd.
    Inventor: Satoshi Kageyama
  • Patent number: 8115317
    Abstract: To improve connection reliability of a through electrode in a semiconductor device, and prevent deterioration of electrical characteristics due to a residue generated from a pad at the time of forming the through electrode. A contact area between a pad and a conductor layer is equal to a diameter of a hole of an opening provided in a silicon substrate. Consequently, it is possible to increase the contact area as compared with a conventional configuration. This improves the connection reliability. Furthermore, a residue containing metal is attached to the outside of an insulation film in the manufacturing process. Consequently, the residue is prevented from contacting a silicon substrate body. Also, heavy metals, such as Cu, in the residue are prevented from being diffused into the silicon substrate body. Therefore, it is possible to prevent the deterioration of electrical characteristics.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: February 14, 2012
    Assignee: Oki Semiconductor Co., Ltd.
    Inventors: Shigeru Yamada, Yutaka Kadogawa
  • Publication number: 20120025274
    Abstract: An SOI substrate having an SOI layer that can be used in practical applications even when a substrate with low upper temperature limit, such as a glass substrate, is used, is provided. A semiconductor device using such an SOI substrate, is provided. In bonding a single-crystal semiconductor layer to a substrate having an insulating surface or an insulating substrate, a silicon oxide film formed using organic silane as a material on one or both surfaces that are to form a bond is used. According to the present invention, a substrate with an upper temperature limit of 700° C. or lower, such as a glass substrate, can be used, and an SOI layer that is strongly bonded to the substrate can be obtained. In other words, a single-crystal semiconductor layer can be formed over a large-area substrate that is longer than one meter on each side.
    Type: Application
    Filed: October 6, 2011
    Publication date: February 2, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hideto OHNUMA, Tetsuya KAKEHATA, Yoichi IIKUBO
  • Publication number: 20120007221
    Abstract: A method of forming an integrated circuit includes providing a buffer layer comprising a dielectric material above a layer of conductive material and providing a layer of mask material above the buffer layer. The mask material comprises amorphous carbon. The method also includes removing a portion of the buffer layer and the layer of mask material to form a mask. A feature is formed in the layer of conductive material according to the mask.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 12, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Richard J. Huang, Scott A. Bell, Srikanteswara Dakshina-Murthy, Philip A. Fisher, Richard C. Nguyen, Cyrus E. Tabery, Lu You
  • Patent number: 8053870
    Abstract: Disclosed are embodiments of a semiconductor structure that incorporates multiple nitride layers stacked between the center region of a device and a blanket oxide layer. These nitride layers are more thermally conductive than the blanket oxide layer and, thus provide improved heat dissipation away from the device. Also disclosed are embodiments of a method of forming such a semiconductor structure in conjunction with the formation of any of the following nitride layers during standard processing of other devices: a nitride hardmask layer (OP layer), a “sacrificial” nitride layer (SMT layer), a tensile nitride layer (WN layer) and/or a compressive nitride layer (WP layer). Optionally, the embodiments also incorporate incomplete contacts that extend through the blanket oxide layer into one or more of the nitride layers without contacting the device in order to further improve heat dissipation.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Joseph M. Lukaitis, Jed H. Rankin, Robert R. Robison
  • Publication number: 20110227203
    Abstract: In some embodiments, a method of providing a semiconductor device can include: (a) providing a substrate; (b) depositing a first metal layer over the substrate; (c) spin-coating a first dielectric material over the first metal layer, where the first dielectric material includes an organic siloxane-based dielectric material; and (d) depositing a second dielectric material comprising silicon nitride over the first dielectric material. Other embodiments are disclosed in this application.
    Type: Application
    Filed: May 27, 2011
    Publication date: September 22, 2011
    Applicant: Arizona Board of Regents, for and on behalf of Arizona State University
    Inventors: Michael Marrs, Jeffrey Dailey
  • Publication number: 20110215447
    Abstract: A semiconductor device including a low dielectric constant film of which the relative dielectric constant is less than 3.5, is provided with one or more seal rings that are moisture blocking walls forming a closed loop in a plan view, and where at least one of the seal rings includes a seal ring protrusion portion in inward protruding form in the vicinity of a chip corner.
    Type: Application
    Filed: May 20, 2011
    Publication date: September 8, 2011
    Applicant: Renesas Electronics Corporation
    Inventors: Takeshi Furusawa, Norio Miura, Kinya Goto, Masazumi Matsuura
  • Patent number: 8013392
    Abstract: Semiconductor structure formed on a substrate and process of forming the semiconductor. The semiconductor includes a plurality of field effect transistors having a first portion of field effect transistors (FETS) and a second portion of field effect transistors. A first stress layer has a first thickness and is configured to impart a first determined stress to the first portion of the plurality of field effect transistors. A second stress layer has a second thickness and is configured to impart a second determined stress to the second portion of the plurality of field effect transistors.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: September 6, 2011
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Oleg G. Gluschenkov, Huilong Zhu
  • Patent number: 7968966
    Abstract: In order to improve the reliability of a semiconductor device having a fuse formed by a Damascene technique, a barrier insulating film and an inter-layer insulating film are deposited over a fourth-layer wiring and a fuse. The barrier insulating film is an insulating film for preventing the diffusion of Cu and composed of a SiCN film deposited by plasma CVD like the underlying barrier insulating film. The thickness of the barrier insulating film covering the fuse is larger than the thickness of the underlying barrier insulating film so as to improve the moisture resistance of the fuse.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: June 28, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Katsuhiko Hotta, Kyoko Sasahara, Taichi Hayamizu, Yuichi Kawano
  • Patent number: 7955994
    Abstract: An object of the present invention is to provide a semiconductor device including an insulating layer with a high dielectric strength voltage, a low dielectric constant, and low hygroscopicity. Another object of the present invention is to provide an electronic appliance with high performance and high reliability, which uses the semiconductor device. An insulator containing nitrogen, such as silicon oxynitride or silicon nitride oxide, and an insulator containing nitrogen and fluorine, such as silicon oxynitride added with fluorine or silicon nitride oxide added with fluorine, are alternately deposited so that an insulating layer is formed. By sandwiching an insulator containing nitrogen and fluorine between insulators containing nitrogen, the insulator containing nitrogen and fluorine can be prevented from absorbing moisture and thus a dielectric strength voltage can be increased. Further, an insulator contains fluorine so that a dielectric constant can be reduced.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: June 7, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Satoshi Toriumi, Noriyoshi Suzuki
  • Publication number: 20110108962
    Abstract: An example semiconductor device includes a trench formed in a semiconductor substrate to define an active region, a filling dielectric layer provided within the trench, an oxide layer provided between the filling dielectric layer and the trench, a nitride layer provided between the oxide layer and the filling dielectric layer, and a barrier layer provided between the oxide layer and the nitride layer.
    Type: Application
    Filed: October 4, 2010
    Publication date: May 12, 2011
    Inventors: Seunguk Han, Satoru Yamada, Young Jin Choi
  • Patent number: 7939915
    Abstract: A system and method for improved dry etching system. According to an embodiment, the present invention provides a partially completed integrated circuit device. The partially completed integrated circuit device includes a semiconductor substrate having a surface region. The partially completed integrated circuit device also includes an etch stop layer overlying the surface region. The etch stop layer is characterized by a thickness having at least a first thickness portion and a second thickness portion. The second thickness portion includes an etch stop surface region. The partially completed integrated circuit device additionally includes a silicon dioxide material provided within the first thickness portion of the etch stop layer. The partially completed integrated circuit device includes a silicon nitride material provided within the second thickness portion of the etch stop layer.
    Type: Grant
    Filed: October 13, 2009
    Date of Patent: May 10, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Hok Min Ho, Ching Tien Ma, Woei Ji Song
  • Patent number: 7928512
    Abstract: A semiconductor device is provided herein, which includes a substrate having a first-type MOS transistor, an input/output (I/O) second-type MOS transistor, and a core second-type MOS transistor formed thereon. The semiconductor device further includes a first stress layer and a second stress layer. The first stress layer is disposed on the first-type MOS transistor, or on the first-type MOS transistor and the I/O second-type MOS transistor. The second stress layer is disposed on the core second-type MOS transistor.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: April 19, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Hsien Lee, Cheng-Tung Huang, Wen-Han Hung, Shyh-Fann Ting, Li-Shian Jeng, Tzyy-Ming Cheng, Chia-Wen Lang
  • Patent number: 7911026
    Abstract: Carrier including: a substrate having a first interface with first contact holes, and a second interface, which lies opposite the first interface, with second contact holes. The substrate includes a substrate body and electrically conductive contact channels formed therein, wherein each of the contact channels electrically conductively connects a first contact hole to a second contact hole. The carrier also includes a front-side wiring layer arranged on the first interface and; has a first front-side metallization layer formed therein such that it includes a first capacitor electrode for electrically connecting microelectronic devices and/or circuits to a first pole of a signal or supply voltage.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: March 22, 2011
    Assignee: Qimonda AG
    Inventors: Florian Binder, Thomas Haneder, Judith Lehmann, Manfred Schneegans, Grit Sommer
  • Publication number: 20110062561
    Abstract: A method of manufacturing a semiconductor device comprising: forming a p type region and an n type region in a main surface of a semiconductor substrate, the p type region and the n type region being insulated from each other with an element-isolation region; forming a first insulating film on the p type region and on the n type region, the first insulating film being made of any one of a silicon oxide film and a silicon oxynitride film; forming a lanthanum oxide film on the first insulating film on the p type region; forming a second insulating film both on the lanthanum oxide film on the p type region and on the first insulating film on the n type region, the second insulating film containing any one of hafnium and zirconium; and forming a titanium nitride film on the second insulating film, the titanium nitride film satisfying TixNy where x/y<1.
    Type: Application
    Filed: September 10, 2010
    Publication date: March 17, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Nao AKIYAMA, Seiji INUMIYA
  • Patent number: 7888741
    Abstract: A semiconductor device structure and method for manufacture includes a substrate having a top first layer; a second thin transition layer located on top of the first layer; and, a third layer located on top of the transition layer, wherein the second thin transition layer provides strong adhesion and cohesive strength between the first and third layers of the structure. Additionally, a semiconductor device structure and method for manufacture includes an insulating structure comprising a multitude of dielectric and conductive layers with respective transition bonding layers disposed to enhance interfacial strength among the different layers. Further, an electronic device structure incorporates layers of insulating and conductive materials as intralevel or interlevel dielectrics in a back-end-of-the-line (“BEOL”) wiring structure in which the interfacial strength between different pairs of dielectric films is enhanced by a thin intermediate transition bonding layer.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: February 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Alfred Grill, Vishnubhai V. Patel, Darryl D. Restaino
  • Publication number: 20110012238
    Abstract: A dielectric capping layer having a dielectric constant of less than 4.2 is provided that exhibits a higher mechanical and electrical stability to UV and/or E-Beam radiation as compared to conventional dielectric capping layers. Also, the dielectric capping layer maintains a consistent compressive stress upon post-deposition treatments. The dielectric capping layer includes a tri-layered dielectric material in which at least one of the layers has good oxidation resistance, is resistance to conductive metal diffusion, and exhibits high mechanical stability under at least UV curing. The low k dielectric capping layer also includes nitrogen content layers that contain electron donors and double bond electrons. The low k dielectric capping layer also exhibits a high compressive stress and high modulus and is stable under post-deposition curing treatments, which leads to less film and device cracking and improved device reliability.
    Type: Application
    Filed: July 14, 2009
    Publication date: January 20, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephan A. Cohen, Alfred Grill, Thomas J. Haigh, JR., Xiao H. Liu, Son V. Nguyen, Thomas M. Shaw, Hosadurga Shobha
  • Publication number: 20110012239
    Abstract: A barrier layer deposited on the passivation layer of a semiconductor die decreases adhesion of glue used during stacking of semiconductor dies by altering chemical or structural properties of the passivation layer. During detachment of a carrier wafer from a wafer, the barrier layer reduces glue residue on the wafer by modifying the surface of the passivation layer. The barrier layer may be insulating films such as silicon dioxide, silicon nitride, silicon carbide, polytetrafluoroethylene, organic layers, or epoxy and may be less than two micrometers in thickness. Additionally, the barrier layer may be used to reduce topography of the semiconductor die to decrease adhesion of glues.
    Type: Application
    Filed: April 12, 2010
    Publication date: January 20, 2011
    Applicant: QUALCOMM Incorporated
    Inventors: Shiqun Gu, Urmi Ray, Yiming Li, Arvind Chandrasekaran
  • Patent number: 7872292
    Abstract: A capacitance dielectric layer is provided. The capacitance dielectric layer includes a first dielectric layer, a second dielectric layer and a silicon nitride stacked layer. The silicon nitride stacked layer is disposed between the first dielectric layer and the second dielectric layer. The structure of the capacitance dielectric layer permits an increase in the capacitance per unit area by decreasing the thickness of the capacitance dielectric layer and eliminates the problems of having a raised leakage current and a diminished breakdown voltage.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: January 18, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Chun Wang, Hsin-Hsing Chen, Yu-Ho Chiang
  • Patent number: 7847340
    Abstract: The present invention provides a semiconductor device and a method of manufacturing the semiconductor device, the semiconductor device including: ONO films that are formed on a semiconductor substrate and include trapping layers; word lines that are formed on the ONO films; and silicon oxide layers that are formed at portions on the semiconductor substrate, the portions being located between the word lines, the silicon oxide layers being located between the trapping layers.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: December 7, 2010
    Assignee: Spansion LLC
    Inventors: Kenichi Fujii, Masatomi Okanishi
  • Patent number: 7838968
    Abstract: There are disclosed TFTs having improved reliability. An interlayer dielectric film forming the TFTs is made of a silicon nitride film. Other interlayer dielectric films are also made of silicon nitride. The stresses inside the silicon nitride films forming these interlayer dielectric films are set between ?5×109 and 5×109 dyn/cm2. This can suppress peeling of the interlayer dielectric films and difficulties in forming contact holes. Furthermore, release of hydrogen from the active layer can be suppressed. In this way, highly reliable TFTs can be obtained.
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: November 23, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hongyong Zhang, Satoshi Teramoto
  • Patent number: 7834459
    Abstract: An inventive semiconductor device includes at least three interconnection layers sequentially stacked without intervention of a via layer. At least one of the interconnection layers includes an interconnection and a via which connects interconnections provided in interconnection layers underlying and overlying the one interconnection layer.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: November 16, 2010
    Assignee: Rohm Co., Ltd.
    Inventor: Satoshi Kageyama
  • Patent number: 7825497
    Abstract: A method of manufacturing a semiconductor device including forming two first gate electrodes along a first direction on a first surface of a semiconductor substrate and source/drain areas sandwiching a channel region under each of the first gate electrodes, forming a first interlayer insulating layer to fill a region between the first gate electrodes, lowering a top of the first interlayer insulating layer, depositing a second interlayer insulating layer on the first interlayer insulating layer and the first gate electrodes, planarizing a surface of the second interlayer insulating layer, and forming an interconnect layer in the second interlayer insulating layer and a contact plug in the first interlayer insulating layer and the second interlayer insulating layer so that the contact plug is in contact with the interconnect layer and one of the source/drain areas.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: November 2, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Kutsukake, Yasuhiko Matsunaga, Shoichi Miyazaki
  • Patent number: 7821072
    Abstract: In a semiconductor device, a transistor in an N-type logic region NL is covered with a tensile stress applying film and a transistor in a P-type logic region PL is covered with a compressive stress applying film. Transistors in a P-type SRAM region PS and an N-type SRAM region NS are covered with a layered film including a tensile stress applying film and a compressive stress applying film.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: October 26, 2010
    Assignee: Panasonic Corporation
    Inventor: Naoki Kotani
  • Patent number: 7791121
    Abstract: A nonvolatile semiconductor memory device includes bit line diffusion layers extending along the X direction in an upper portion of a semiconductor substrate; and gate structures extending along the Y direction on the semiconductor substrate and each including a charge trapping film and a gate electrode. The nonvolatile semiconductor memory device further includes a first interlayer insulating film in which first contacts respectively connected to the bit line diffusion layers are formed; and second contacts that penetrate through a UV blocking film and a second interlayer insulating film formed on the first interlayer insulating film and have bottom faces respectively in contact with the first contacts and top faces respectively in contact with metal interconnections.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: September 7, 2010
    Assignee: Panasonic Corporation
    Inventors: Koichi Kawashima, Keita Takahashi
  • Patent number: 7786552
    Abstract: A method for reducing leakage current in a semiconductor structure is disclosed. One or more dielectric layers are formed on a semiconductor substrate, on which at least one device is constructed. A hydrogen-containing layer is formed over the dielectric layers. A silicon nitride passivation layer covers the dielectric layers and the hydrogen-containing layer. The hydrogen atoms of the hydrogen-containing layer are introduced into the dielectric layers without being blocked by the silicon nitride layer, thereby reducing leakage current therein.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: August 31, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Hsun Huang, Kuo-Yin Lin, Chung-Yi Yu, Chih-Ta Wu, Chia-Shiung Tsai
  • Patent number: 7776670
    Abstract: Issue Providing a silicon film which can prevent damage of electronic devices formed on a substrate from occurrence, can prevent apparatus arrangement from becoming large-scale one, can improve coherency of a silicon thin film to a substrate, and is hardly happened crack and/or flaking, and providing a method for forming the silicon thin film. Solving Means A method for forming a silicon thin film according to the present invention is a method for forming a silicon thin film having isolation function or barrier function, on a substrate K using CVD method, and comprises a step for forming a first thin film on the substrate using plasma CVD method employing gas containing hydrogen element and a gas containing silicon element; a step for forming a second thin film using plasma CVD method employing a gas containing nitrogen element and a gas containing silicon element; and a step for forming a third thin film using plasma CVD method employing a gas containing oxygen element and a gas containing silicon element.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: August 17, 2010
    Assignee: Toray Engineering Co., Ltd.
    Inventors: Masamichi Yamashita, Takashi Iwade, Kohshi Taguchi, Mitsuo Yamazaki
  • Publication number: 20100155909
    Abstract: Methods of improving charge trapping are disclosed. One such method includes forming an oxide-nitride-oxide tunnel stack and a silicon nitride layer on the oxide-nitride-oxide tunnel stack. This silicon nitride layer is implanted with ions. These ions may function as electron traps or as fields. The silicon nitride layer may be part of a flash memory device.
    Type: Application
    Filed: December 17, 2009
    Publication date: June 24, 2010
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Deepak RAMAPPA, Kyu-Ha SHIM
  • Patent number: 7737536
    Abstract: Structures, in various embodiments, are provided using capacitive techniques to reduce noise in high speed interconnections, such as in CMOS integrated circuits. In an embodiment, a transmission line is disposed on a first layer of insulating material, where the first layer of insulating has a thickness equal to or less than 1.0 micrometer. The transmission line may be structured with a thickness and a width of approximately 1.0 micrometers. A second layer of insulating material is disposed on the transmission line.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: June 15, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7670893
    Abstract: General purpose methods for the fabrication of integrated circuits from flexible membranes formed of very thin low stress dielectric materials, such as silicon dioxide or silicon nitride, and semiconductor layers. Semiconductor devices are formed in a semiconductor layer of the membrane. The semiconductor membrane layer is initially formed from a substrate of standard thickness, and all but a thin surface layer of the substrate is then etched or polished away. In another version, the flexible membrane is used as support and electrical interconnect for conventional integrated circuit die bonded thereto, with the interconnect formed in multiple layers in the membrane. Multiple die can be connected to one such membrane, which is then packaged as a multi-chip module. Other applications are based on (circuit) membrane processing for bipolar and MOSFET transistor fabrication, low impedance conductor interconnecting fabrication, flat panel displays, maskless (direct write) lithography, and 3D IC fabrication.
    Type: Grant
    Filed: November 3, 2003
    Date of Patent: March 2, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Glenn J Leedy
  • Patent number: 7646080
    Abstract: A protective film structure (100) includes a base (110) and a resistive film (120) formed on a surface of the base. The base is comprised of amorphous boron nitride or amorphous boron carbide, and is formed on a surface of a substrate (10) to be protected. The resistive film includes an adhesive layer (121), an intermediate layer (122) and an outermost layer (123), which are formed on a surface of the base one on top of the other in that order.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: January 12, 2010
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Ga-Lane Chen
  • Patent number: 7642203
    Abstract: Embodiments relate to a passivation layer for a semiconductor device that may be formed in a substrate having a plurality of semiconductor devices. The passivation layer may includes a first passivation layer, a second passivation layer, and a third passivation layer, and the passivation layer may have a laminated triple layer structure.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: January 5, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Seung Hyun Kim
  • Publication number: 20090321895
    Abstract: Issue Providing a silicon film which can prevent damage of electronic devices formed on a substrate from occurrence, can prevent apparatus arrangement from becoming large-scale one, can improve coherency of a silicon thin film to a substrate, and is hardly happened crack and/or flaking, and providing a method for forming the silicon thin film. Solving Means A method for forming a silicon thin film according to the present invention is a method for forming a silicon thin film having isolation function or barrier function, on a substrate K using CVD method, and comprises a step for forming a first thin film on the substrate using plasma CVD method employing gas containing hydrogen element and a gas containing silicon element; a step for forming a second thin film using plasma CVD method employing a gas containing nitrogen element and a gas containing silicon element; and a step for forming a third thin film using plasma CVD method employing a gas containing oxygen element and a gas containing silicon element.
    Type: Application
    Filed: May 30, 2007
    Publication date: December 31, 2009
    Applicant: Toray Engineering Co., Ltd
    Inventors: Masamichi Yamashita, Takashi Iwade, Kohshi Taguchi, Mitsuo Yamazaki
  • Patent number: 7638859
    Abstract: Interconnects with harmonized stress and methods for fabricating the same. An interconnect comprises a substrate having a conductive member. A composite low-k dielectric layer interposed with at least one stress-harmonizing layer therein overlies the substrate. A conductive feature in the composite low-k dielectric layer passes through the at least one stress-harmonizing layer to electrically connect the conductive member.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: December 29, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Cheng Lu, Ming-Hsing Tsai
  • Patent number: RE41948
    Abstract: A semiconductor device is provided with a first insulating film, a first wiring layer formed in the first insulating film, a second insulating film formed above the first wiring layer and the first insulating film, the second insulating film including a low dielectric constant film, a second wiring layer formed in the second insulating film and coupled to the first wiring layer through a first connection section, and a third insulating film formed above the second wiring layer and the second insulating film and serving as one of an interlayer insulating film and a passivation film, and at least one of the first and third insulating films being one of a film formed mainly of SiON, a film formed mainly of SiN, and a laminated film being the films formed mainly of SiON or SiN respectively.
    Type: Grant
    Filed: August 26, 2008
    Date of Patent: November 23, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Noriaki Matsunaga