Selective Epilaxial Growth, E.g., Simultaneous Deposition Of Mono- And Non-mono Semiconductor Material (epo) Patents (Class 257/E21.131)
  • Patent number: 7754513
    Abstract: Latch-up resistant semiconductor structures formed on a hybrid substrate and methods of forming such latch-up resistant semiconductor structures. The hybrid substrate is characterized by first and second semiconductor regions that are formed on a bulk semiconductor region. The second semiconductor region is separated from the bulk semiconductor region by an insulating layer. The first semiconductor region is separated from the bulk semiconductor region by a conductive region of an opposite conductivity type from the bulk semiconductor region. The buried conductive region thereby the susceptibility of devices built using the first semiconductor region to latch-up.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: July 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jack Allan Mandelman, William Robert Tonti
  • Patent number: 7754587
    Abstract: A semiconductor process and apparatus provide a planarized hybrid substrate (16) by selectively depositing an epitaxial silicon layer (70) to fill a trench (96), and then blanket depositing silicon to cover the entire wafer with near uniform thickness of crystalline silicon (102) over the epi silicon layer (70) and polycrystalline silicon (101, 103) over the nitride mask layer (95). The polysilicon material (101, 103) added by the two-step process increases the polish rate of subsequent CMP polishing to provide a more uniform polish surface (100) over the entire wafer surface, regardless of variations in structure widths and device densities. By forming first gate electrodes (151) over a first SOI layer (90) using deposited (100) silicon and forming second gate electrodes (161) over an epitaxially grown (110) silicon layer (70), a high performance CMOS device is obtained which includes high-k metal PMOS gate electrodes (161) having improved hole mobility.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: July 13, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory S. Spencer, Peter J. Beckage, Mariam G. Sadaka
  • Patent number: 7754504
    Abstract: A method for making a light-emitting diode, which including the steps of: providing a substrate having at least one recessed portion on one main surface and growing a first nitride-based III-V group compound semiconductor layer through a state of making a triangle in section having a bottom surface of the recessed portion as a base thereby burying the recessed portion; laterally growing a second nitride-based III-V group compound semiconductor layer from the first nitride-based III-V group compound semiconductor layer over the substrate; and successively growing a third nitride-based III-V group compound semiconductor layer of a first conduction type, an active layer and a fourth nitride-based III-V group compound semiconductor layer of a second conduction type on the second nitride-based III-V group compound semiconductor layer.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: July 13, 2010
    Assignee: Sony Corporation
    Inventors: Akira Ohmae, Shigetaka Tomiya, Yuki Maeda, Michinori Shiomi, Takaaki Ami, Takao Miyajima, Katsunori Yanashima, Takashi Tange, Atsushi Yasuda
  • Publication number: 20100163939
    Abstract: In sophisticated semiconductor devices, an asymmetric transistor configuration may be obtained on the basis of a strain-inducing semiconductor alloy. To this end, strain relaxation implantation processes may be performed at the drain side according to some illustrative embodiments, while, in other cases, the deposition of the strain-inducing alloy may be performed in an asymmetric manner with respect to the drain side and the source side of the transistor.
    Type: Application
    Filed: December 29, 2009
    Publication date: July 1, 2010
    Inventors: Stephan Kronholz, Vassilios Papageorgiou, Gunda Beernink, Jan Hoentschel
  • Publication number: 20100167505
    Abstract: A method for fabricating a semiconductor device is provided. The method comprises selectively forming a first layer over a first and second exposed portions of a substrate. The first and second exposed portions are of different sizes and are located adjacent to a first and second active devices. During the first layer formation, a gas mixture comprising first and second source gases that function as growth components for forming the first layer and a reactant gas that functions as an etching component for controlling selectivity of the first layer growth is provided. The reactant gas is different from the first and second source gases and one of first and second source gases forms the first layer at a faster rate over the first exposed portion as compared to the second exposed portion and the other source gas exhibits an opposite behavior.
    Type: Application
    Filed: December 29, 2009
    Publication date: July 1, 2010
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD.
    Inventors: Han Guan CHEW, Jinping LIU, Alex Kai Hung SEE, Mei Sheng ZHOU
  • Patent number: 7736928
    Abstract: Embodiments of the invention contemplate the formation of a low cost solar cell using a novel electroplating apparatus and method to form a metal contact structure having metal lines formed using an electrochemical plating process. The apparatus and methods described herein remove the need to perform the often costly processing steps of performing a mask preparation and formation steps, such as screen printing, lithographic steps and inkjet printing steps, to form a contact structure. The resistance of interconnects formed in a solar cell device greatly affects the efficiency of the solar cell. It is thus desirable to form a solar cell device that has a low resistance connection that is reliable and cost effective. Therefore, one or more embodiments of the invention described herein are adapted to form a low cost and reliable interconnecting layer using an electrochemical plating process containing a common metal, such as copper.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Sergey Lopatin, John O. Dukovic, David Eaglesham, Nicolay Y. Kovarsky, Robert Bachrach, John Busch, Charles Gay
  • Patent number: 7709823
    Abstract: The invention is directed to a group-III nitride vertical-rods substrate. The group-III vertical-rods substrate comprises a substrate, a buffer layer and a vertical rod layer. The buffer layer is located over the substrate. The vertical rod layer is located on the buffer layer and the vertical rod layer is comprised of a plurality of vertical rods standing on the buffer layer.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: May 4, 2010
    Assignees: Industrial Technology Research Institute, National Tsing Hua University
    Inventors: Chih-Ming Lai, Wen-Yueh Liu, Jenq-Dar Tsay, Jung-Tsung Hsu, Shang-Jr Gwo, Chang-Hong Shen, Hon-Way Lin
  • Patent number: 7704843
    Abstract: In a semiconductor device and a method of manufacturing the same, a conductive structure is formed on an active region defined by a device isolation layer on a semiconductor substrate. The conductive structure includes a gate pattern and source/drain regions adjacent to the gate pattern. A first semiconductor layer is formed on the active region by a selective epitaxial growth (SEG) process. An amorphous layer is formed on the first semiconductor layer. A second semiconductor layer is formed from a portion of the amorphous layer by a solid-phase epitaxy (SPE) process. Elevated structures are formed on the source/drain regions by removing a remaining portion of the amorphous layer from the substrate, so the elevated structure includes the first semiconductor layer and the second semiconductor layer stacked on the first semiconductor layer. The device isolation layer may be prevented from being covered with the elevated structures, to thereby prevent contact failures.
    Type: Grant
    Filed: December 15, 2008
    Date of Patent: April 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hoon Son, Jong-Wook Lee
  • Publication number: 20100075485
    Abstract: Embodiments of the present invention provide a method for forming an emitter region in a crystalline silicon substrate and passivating the surface thereof by depositing a doped amorphous silicon layer onto the crystalline silicon substrate and thermally annealing the crystalline silicon substrate while oxidizing the surface thereof. In one embodiment, the deposited film is completely converted to oxide. In another embodiment, the doped amorphous silicon layer deposited onto the crystalline silicon substrate is converted into crystalline silicon having the same grain structure and crystal orientation as the underlying crystalline silicon substrate upon which the amorphous silicon was initially deposited during emitter formation. In one embodiment, at least a portion of the converted crystalline silicon is further converted into silicon dioxide during the emitter surface passivation.
    Type: Application
    Filed: September 22, 2008
    Publication date: March 25, 2010
    Inventors: Virendra V. Rana, Robert Z. Bachrach
  • Patent number: 7674720
    Abstract: Methods are disclosed for providing stacking fault reduced epitaxially grown silicon for use in hybrid surface orientation structures. In one embodiment, a method includes depositing a silicon nitride liner over a silicon oxide liner in an opening, etching to remove the silicon oxide liner and silicon nitride liner on a lower surface of the opening, undercutting the silicon nitride liner adjacent to the lower surface, and epitaxially growing silicon in the opening. The silicon is substantially reduced of stacking faults because of the negative slope created by the undercut.
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: March 9, 2010
    Assignees: International Business Machines Corporation, Advanced Micro Devices, Inc.
    Inventors: Yun-Yu Wang, Linda Black, Judson R. Holt, Woo-Hyeong Lee, Scott Luning, Christopher D. Sheraw
  • Publication number: 20100032733
    Abstract: A semiconductor device includes: a semiconductor substrate having an element formation region containing impurities of a first conductivity type; a gate electrode formed on the element formation region with a gate insulating film interposed therebetween; and a silicon alloy layer formed on a lateral side of the gate electrode in the element formation region, and containing impurities of a second conductivity type. A boundary layer containing impurities of the second conductivity type is formed between the silicon alloy layer and the element formation region.
    Type: Application
    Filed: October 16, 2009
    Publication date: February 11, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Satoru ITOU, Yasutoshi Okuno, Takashi Nakabayashi
  • Publication number: 20100035394
    Abstract: A semiconductor device can be formed without use of an STI process. An insulating layer is formed over a semiconductor body. Portions of the insulating layer are removed to expose the semiconductor body, e.g., to expose bare silicon. A semiconductor material, e.g., silicon, is grown over the exposed semiconductor body. A device, such as a transistor, can then be formed in the grown semiconductor material.
    Type: Application
    Filed: October 14, 2009
    Publication date: February 11, 2010
    Inventors: Jiang Yan, Danny Pak-Chum Shum
  • Patent number: 7659200
    Abstract: A nanostructure comprising germanium, including wires of less than 1 micron in diameter and walls of less than 1 micron in width, in contact with the substrate and extending outward from the substrate is provided along with a method of preparation.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Guy Cohen, Qiang Huang, Lubomyr T. Romankiw, Hariklia Deligianni
  • Patent number: 7652314
    Abstract: A complementary metal-oxide semiconductor (CMOS) image sensor includes a photodiode, a gate pattern of a transfer transistor contacting one side of the photodiode, a gate pattern of a drive transistor disposed to have a predetermined spacing distance from the gate pattern of the transfer transistor, and a floating diffusion node disposed between the gate pattern of the transfer transistor and the gate pattern of the drive transistor.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: January 26, 2010
    Inventor: Dong-Hyuk Park
  • Patent number: 7615420
    Abstract: The method for manufacturing the indium gallium aluminium nitride (InGaAlN) thin film on silicon substrate, which comprises the following steps: introducing magnesium metal for processing online region mask film, that is, or forming one magnesium mask film layer or metal transition layer; then forming one metal transition layer or magnesium mask layer, finally forming one layer of indium gallium aluminium nitride semiconductor layer; or firstly forming one layer of metal transition layer on silicon substrate and then forming the first indium gallium aluminium nitride semiconductor layer, magnesium mask layer and second indium gallium aluminium nitride semiconductor layer in this order. This invention can reduce the dislocation density of indium gallium aluminium nitride materials and improve crystal quality.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: November 10, 2009
    Assignee: Lattice Power (Jiangxi) Corporation
    Inventors: Fengyi Jiang, Li Wang, Wenqing Fang
  • Publication number: 20090239347
    Abstract: The present invention provides a method for forming a metal-oxide-semiconductor (MOS) device. The method includes at least the steps of forming a silicon germanium layer by the selective epitaxy growth process and forming a cap layer on the silicon germanium layer by the selective growth process. Hence, the undesirable effects caused by ion implantation can be mitigated.
    Type: Application
    Filed: May 20, 2009
    Publication date: September 24, 2009
    Applicant: United Microelectronics Corp.
    Inventors: SHYH-FANN TING, Shih-Chieh Hsu, Cheng-Tung Huang, Chih-Chiang Wu, Wen-Han Hung, Meng-Yi Wu, Li-Shian Jeng, Chung-Min Shih, Kun-Hsien Lee, Tzyy-Ming Cheng
  • Patent number: 7589001
    Abstract: A growth plane of substrate 1 is processed to have a concavo-convex surface. The bottom of the concave part may be masked. When a crystal is grown by vapor phase growth using this substrate, an ingredient gas does not sufficiently reach the inside of a concave part 12, and therefore, a crystal growth occurs only from an upper part of a convex part 11. As shown in FIG. 1(b), therefore, a crystal unit 20 occurs when the crystal growth is started, and as the crystal growth proceeds, films grown in the lateral direction from the upper part of the convex part 11 as a starting point are connected to cover the concavo-convex surface of the substrate 1, leaving a cavity 13 in the concave part, as shown in FIG. 1(c), thereby giving a crystal layer 2, whereby the semiconductor base of the present invention is obtained. In this case, the part grown in the lateral direction, or the upper part of the concave part 12 has a low dislocation region and the crystal layer prepared has high quality.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: September 15, 2009
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Kazuyuki Tadatomo, Hiroaki Okagawa, Yoichiro Ouchi, Masahiro Koto
  • Publication number: 20090227086
    Abstract: The prevention of active area loss in the STI model is disclosed which results in an improved device performance in devices manufactured according to the process flow. The process generally shared among the multiple various embodiments inverts the current conventional STI structure towards a process flow where an insulator is patterned with tapered trenches. A segregation layer is formed beneath the surface of the insulator in the tapered trenches. The tapered trenches are then filled with a semiconductor material which is further processed to create a number of active devices. Therefore, the active devices are created in patterned dielectric instead of the STI being created in the semiconductor substrate of the active devices.
    Type: Application
    Filed: March 6, 2008
    Publication date: September 10, 2009
    Inventors: Roland Hampp, Manfred Eller, Jin-Ping Han, Matthias Lipinski
  • Patent number: 7560350
    Abstract: A method for forming a strained semiconductor device is described. A substrate including a first semiconductor material and having a first conductivity type is provided. A semiconductor layer of a second conductivity type is formed contacting with the substrate, wherein the semiconductor layer includes the first semiconductor material and a second semiconductor material and has a dopant of the second conductivity type. In-situ annealing is then conducted to diffuse the dopant.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: July 14, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Chin-I Liao
  • Patent number: 7557010
    Abstract: The invention, in one aspect, provides a method for fabricating a semiconductor device, which includes conducting an etch through an opening in an emitter layer to form a cavity from an underlying oxide layer that exposes a doped tub. A first silicon/germanium (SiGe) layer, which has a Ge concentration therein, is formed within the cavity and over the doped tub by adjusting a process parameter to induce a strain in the first SiGe layer. A second SiGe layer is formed over the first SiGe layer, and a capping layer is formed over the second SiGe layer.
    Type: Grant
    Filed: February 12, 2007
    Date of Patent: July 7, 2009
    Assignee: Agere Systems Inc.
    Inventors: Alan S. Chen, Mark Dyson, Nace M. Rossi, Ranbir Singh
  • Patent number: 7554139
    Abstract: A production method for a semiconductor device according to the present invention includes: step (A) of providing a substrate including a semiconductor layer having a principal face, the substrate having a device isolation structure (STI) formed in an isolation region 70 for partitioning the principal face into a plurality of device active regions 50, 60; step (B) of growing an epitaxial layer containing Si and Ge on selected device active regions 50 among the plurality of device active regions 50, 60 of the principal face of the semiconductor layer; and step (C) of forming a transistor in, among the plurality of device active regions 50, 60, each of the device active regions 50 on which the epitaxial layer is formed and each of the device active regions A2 on which the epitaxial layer is not formed.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: June 30, 2009
    Assignee: Panasonic Corporation
    Inventors: Akira Inoue, Haruyuki Sorada, Yoshio Kawashima, Takeshi Takagi
  • Patent number: 7553718
    Abstract: A method (100) of forming semiconductor structures (202) including high-temperature processing steps (step 118), incorporates the use of a high-temperature nitride-oxide mask (220) over protected regions (214) of the device (202). The invention has application in many different embodiments, including but not limited to, the formation of recess, strained device regions (224).
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: June 30, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Periannan Chidambaram, Haowen Bu, Rajesh Khamankar, Douglas T. Grider
  • Publication number: 20090159005
    Abstract: Systems and methods of coatings for semiconductor processing equipment. A semiconductor substrate processing system includes an enclosure for containing a semiconductor processing gas. The enclosure has an interior surface that is at least partially coated with a Silicon carbide coating to a desired thickness. The enclosure may be inlet piping for conveying the semiconductor processing gas to a processing chamber for processing the semiconductor substrate, a processing chamber and/or an exhaust flume for conveying used semiconductor processing gas away from a processing chamber. The interior surface may include additional coatings comprising Silicon and/or diamond like Carbon.
    Type: Application
    Filed: May 5, 2008
    Publication date: June 25, 2009
    Applicant: Epicrew Corporation
    Inventor: Thomas E. Deacon
  • Publication number: 20090162997
    Abstract: Accordingly, systems and methods of thin diamond like coatings for semiconductor processing equipment. A semiconductor substrate processing system includes an enclosure for containing a semiconductor processing gas. The enclosure has an interior surface that is at least partially coated with a diamond-like Carbon coating to a desired thickness that is less than about 0.5 ?m.
    Type: Application
    Filed: December 21, 2007
    Publication date: June 25, 2009
    Inventor: Thomas E. Deacon
  • Publication number: 20090149005
    Abstract: The invention concerns a method for forming a growth mask on the surface of an initial crystalline substrate, comprising the following steps: formation of a layer of second material on one of the faces of the initial substrate of first material, formation of a pattern in the thickness of the layer of second material so as to expose the zones of said face of the initial substrate, said zones forming growth windows on the initial substrate, the method being characterised in that the formation of the pattern is obtained by ion implantation carried out in the surface layer of the initial substrate underlying the layer of second material, the implantation conditions being such that they cause, directly or after a heat treatment, on said face of the initial substrate, the appearance of exfoliated zones of first material leading to the localised removal of the zones of second material covering the exfoliated zones of first material, thereby locally exposing the initial substrate and forming growth windows on the
    Type: Application
    Filed: November 25, 2005
    Publication date: June 11, 2009
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Aurelie Tauzin, Chrystelle Lagahe-Blanchard
  • Patent number: 7521723
    Abstract: A surface emitting semiconductor laser chip contains a semiconductor body, which has, at least partly, a crystal structure with principal crystal directions, a radiation exit face, and side faces laterally delimiting the semiconductor body. At least one of the side faces is disposed obliquely with respect to the principal crystal directions.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: April 21, 2009
    Assignee: Osram Opto Semiconductors GmbH
    Inventors: Werner Plass, Christian Jung, Tony Albrecht, Udo Streller
  • Publication number: 20090091002
    Abstract: This invention provides methods for fabricating substantially continuous layers of group III nitride semiconductor materials having low defect densities. The methods include epitaxial growth of nucleation layers on a base substrate, thermally treatment of said nucleation layer and epitaxial growth of a discontinuous masking layer. The methods outlined promote defect reduction through masking, annihilation and coalescence, therefore producing semiconductor structures with low defect densities. The invention can be applied to a wide range of semiconductor materials, both elemental semiconductors, e.g., combinations of Si (silicon) with strained Si (sSi) and/or Ge (germanium), and compound semiconductors, e.g., group II-VI and group III-V compound semiconductor materials.
    Type: Application
    Filed: July 25, 2008
    Publication date: April 9, 2009
    Inventors: Chantal ARENA, Subhash Mahajan, Ranjan Datta
  • Publication number: 20090057721
    Abstract: A manufacturing method and a semiconductor device produced by the method are provided, in which the semiconductor device can easily be manufactured while the hydrogen concentration is decreased. An N-containing InGaAs layer 3 is grown on an InP substrate by the MBE method, and thereafter a heat treatment is provided at a temperature in the range of 600° C. or more and less than 800° C., whereby the average hydrogen concentration of the N-containing InGaAs layer 3 is made equal to or 2×1017/cm3 or less than.
    Type: Application
    Filed: September 2, 2008
    Publication date: March 5, 2009
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Kouhei Miura, Yasuhiro Iguchi
  • Publication number: 20090045401
    Abstract: The present invention relates to a semiconductor device including a thin film transistor comprising a microcrystalline semiconductor which forms a channel formation region and includes an acceptor impurity element, and to a manufacturing method thereof. A gate electrode, a gate insulating film formed over the gate electrode, a first semiconductor layer which is formed over the gate insulating film and is formed of a microcrystalline semiconductor, a second semiconductor layer which is formed over the first semiconductor layer and includes an amorphous semiconductor, and a source region and a drain region which are formed over the second semiconductor layer are provided in the thin film transistor. A channel is formed in the first semiconductor layer when the thin film transistor is placed in an on state.
    Type: Application
    Filed: August 12, 2008
    Publication date: February 19, 2009
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Makoto Furuno
  • Patent number: 7491984
    Abstract: The present invention provides a Group III nitride compound semiconductor with suppressed generation of threading dislocations. A GaN layer 31 is subjected to etching, so as to form an island-like structure having a shape of, for example, dot, stripe, or grid, thereby providing a trench/mesa structure, and a mask 4 is formed at the bottom of the trench such that the upper surface of the mask 4 is positioned below the top surface of the GaN layer 31. A GaN layer 32 is lateral-epitaxially grown with the top surface 31a of the mesa and sidewalls 31b of the trench serving as nuclei, to thereby bury the trench, and then epitaxial growth is effected in the vertical direction. In the upper region of the GaN layer 32 formed above the mask 4 through lateral epitaxial growth, propagation of threading dislocations contained in the GaN layer 31 can be prevented.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: February 17, 2009
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Masayoshi Koike, Yuta Tezen, Toshio Hiramatsu, Seiji Nagai
  • Patent number: 7465606
    Abstract: A method of connecting stranded wire to a lead-frame body 10 includes the provision of a stranded wire 12. It is ensured that insulation is stripped from an end 14 of the stranded wire. An electrically conductive lead-frame connection structure 16 is associated with the lead-frame body. The end 14 of the stranded wire is inserted into the lead-frame connection structure 16 so that the lead-frame connection structure substantially surrounds the wire end. Solder flux is injected so as to be substantially about a portion of the end of the stranded wire. The lead-frame connection structure is placed in contact with a bottom resistance welding electrode 18 or a top resistance welding electrode 20.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: December 16, 2008
    Assignee: Brose Fahrzeugteile GmbH & Co. Kommanditgesellschaft, Wurzburg
    Inventors: John William DeWys, Sergey Tyshchuk, Johannes Brouwers, Murray Van Duynhoven, John Edward Makaran
  • Patent number: 7446024
    Abstract: The growth of nanowires with a narrow diameter distribution is provided. The growth comprises: providing a substrate; providing a plurality of nanoparticles having a distribution of particle sizes on the substrate; initiating growth of nanowires by a vapor-liquid-solid technique; and terminating growth of the nanowires.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: November 4, 2008
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Theodore I Kamins
  • Publication number: 20080230802
    Abstract: A semiconductor device with a heterojunction. The device comprises a substrate and at least one nanostructure. The substrate and nanostructure is of different materials. The substrate may e.g. be of a group IV semiconductor material, whereas the nanostructure may be of a group III-V semiconductor material. The nanostructure is supported by and in epitaxial relationship with the substrate. A nanostructure may be the functional component of an electronic device such as a gate-around-transistor device. In an embodiment of a gate-around-transistor, a nanowire (51) is supported by a substrate (50), the substrate being the drain, the nanowire the current channel and a top metal contact (59) the source. A thin gate dielectric (54) is separating the nanowire and the gate electrode (55A, 55B).
    Type: Application
    Filed: December 13, 2004
    Publication date: September 25, 2008
    Inventors: Erik Petrus Antonius Maria Bakkers, Robertus Adrianus Maria Wolters, Johan Hendrik Klootwijk
  • Publication number: 20080213536
    Abstract: The invention provides a method for manufacturing the silicon carbide single crystal wafer capable of improving the utilization ratio of the bulk silicon carbide single crystal, capable of improving characteristics of the element and capable of improving cleavability, and the silicon carbide single crystal wafer obtained by the manufacturing method. An ?(hexagonal)-silicon carbide single crystal wafer which has a flat homoepitaxial growth surface with a surface roughness of 2 nm or less and which has an off-angle from the (0001)c plane of 0.4° or less.
    Type: Application
    Filed: August 24, 2005
    Publication date: September 4, 2008
    Applicant: BRIDGESTONE CORPORATION
    Inventors: Takayuki Maruyama, Toshimi Chiba
  • Patent number: 7411254
    Abstract: The invention includes methods of forming conductive metal silicides by reaction of metal with silicon. In one implementation, such a method includes providing a semiconductor substrate comprising an exposed elemental silicon containing surface. At least one of a crystalline form TiN, WN, elemental form W, or SiC comprising layer is deposited onto the exposed elemental silicon containing surface to a thickness no greater than 50 Angstroms. Such layer is exposed to plasma and a conductive reaction layer including at least one of an elemental metal or metal rich silicide is deposited onto the plasma exposed layer.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: August 12, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Cem Basceri
  • Patent number: 7405131
    Abstract: The example embodiments disclose devices and methods to prevent silicide strapping of the Source/Drain to Body in semiconductor devices with S/D stressor. We provide isolation regions in the substrate and a gate structure over the substrate. We form recesses in the substrate adjacent to the gate structure with disposable spacers and adjacent to the isolation regions. We provide stressor regions filling the recesses. The stress region can have a pit adjacent the isolation regions. We form stressor spacers at least partially in the pit on the sidewalls of the stressor regions. We form silicide regions over the stressor regions. The spacer on the stressor regions sidewalls inhibit the formation of silicide at the stressor region edge during the silicide process, thus preventing silicide strapping of the Source/Drain to Body.
    Type: Grant
    Filed: July 16, 2005
    Date of Patent: July 29, 2008
    Assignees: Chartered Semiconductor Manufacturing, Ltd., International Business Machines Corporation (IBM)
    Inventors: Yung Fu Chong, Brian Joseph Greene
  • Patent number: 7393710
    Abstract: The present invention relates to a two-wavelength semiconductor laser device, more particularly, to a fabrication method of a multi-wavelength semiconductor laser device. In this method, a substrate having an upper surface separated into at least first and second areas is provided. Then, a first dielectric mask on the substrate is formed to expose only the first area. Then, epitaxial layers for a first semiconductor laser are grown on the first area of the substrate. Then, a second dielectric mask on the substrate is formed to expose only the second area. Then, epitaxial layers for a second semiconductor laser are grown on the second area of the substrate.
    Type: Grant
    Filed: October 11, 2005
    Date of Patent: July 1, 2008
    Assignee: Samsung Electro-Mechanics Co., Ltd
    Inventors: Jin Chul Kim, Su Yeol Lee, Chang Zoo Kim, Sang Heon Han, Keun Man Song, Tae Jun Kim, Seok Beom Choi
  • Publication number: 20080153191
    Abstract: In a III-nitride light emitting device, the device layers including the light emitting layer are grown over a template designed to reduce strain in the device, in particular in the light emitting layer. Reducing the strain in the light emitting device may improve the performance of the device. The template may expand the lattice constant in the light emitting layer over the range of lattice constants available from conventional growth templates. Strain is defined as follows: a given layer has a bulk lattice constant abulk corresponding to a lattice constant of a free standing material of a same composition as that layer and an in-plane lattice constant ain-plane corresponding to a lattice constant of that layer as grown in the structure. The amount of strain in a layer is |(ain-plane?abulk)/abulk. In some embodiments, the strain in the light emitting layer is less than 1%.
    Type: Application
    Filed: December 22, 2006
    Publication date: June 26, 2008
    Applicant: PHILIPS LUMILEDS LIGHTING COMPANY, LLC
    Inventors: Patrick N. Grillot, Nathan F. Gardner, Werner K. Goetz, Linda T. Romano
  • Patent number: 7375374
    Abstract: A thin film transistor array substrate and method for repairing the same are provided. Repairing lines are formed when the data lines on the thin film transistor array substrate are defined. Furthermore, the protruding portions and branches of common lines overlap with the repairing lines and the data lines respectively. The repairing method includes performing a laser welding operation to connect the common line with the data line, the repairing line or a scan line as well as removing a portion of the lines by laser. Thus, the thin film transistor array substrate and repairing method thereof can repair line defects and increase the manufacturing yield.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: May 20, 2008
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Chin-Sheng Chen, Chih-Hung Liu, Chien-Hsing Hung, Kun-Yuan Huang
  • Patent number: 7358544
    Abstract: A nitride semiconductor light emitting device comprising an n-side nitride semiconductor layer and a p-side nitride semiconductor layer formed on a substrate, with a light transmitting electrode 10 formed on the p-side nitride semiconductor layer, and the p-side pad electrode 14 formed for the connection with an outside circuit, and the n-side pad electrode 12 formed on the n-side nitride semiconductor layer for the connection with the outside circuit, so as to extract light on the p-side nitride semiconductor layer side, wherein taper angles of end faces of the light transmitting electrode 10 and/or the p-side nitride semiconductor layer are made different depending on the position.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: April 15, 2008
    Assignee: Nichia Corporation
    Inventors: Takahiko Sakamoto, Yasutaka Hamaguchi
  • Patent number: 7342261
    Abstract: A light emitting device includes a substrate having a patterned surface and formed with a plurality of spaced apart cavities, and an epitaxial layer formed on the patterned surface of the substrate, having a patterned surface that is in face-to-face contact with the patterned surface of the substrate, and formed with a plurality of protrusions that protrude from the patterned surface of the epitaxial layer and that are respectively received in the cavities. Each of the protrusions is polygonal in shape and defines a plurality of vertices. The vertices of each of the protrusions contact the cavity-defining wall of the respective one of the cavities so as to form a plurality of closed pores between each of the protrusions and the cavity-defining wall of the respective one of the cavities.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: March 11, 2008
    Inventors: Dong-Sing Wuu, Ray-Hua Horng, Woei-Kai Wang
  • Publication number: 20080035951
    Abstract: A method for selectively growing a nitride semiconductor, in which a mask is formed, with an opening formed therein, on a nitride semiconductor layer. A nitride semiconductor crystal is selectively grown on a portion of the nitride semiconductor layer exposed through the opening in the mask, the nitride semiconductor crystal shaped as a hexagonal pyramid and having crystal planes inclined with respect to a top surface of the nitride semiconductor. Here, the nitride semiconductor crystal has at least one intermediate stress-relieving area having crystal planes inclined at a greater angle than those of upper and lower areas of the nitride semiconductor crystal, the intermediate stress-relieving area relieving stress which occurs from continuity in the inclined crystal planes.
    Type: Application
    Filed: July 3, 2007
    Publication date: February 14, 2008
    Inventors: Hee Seok Park, Gil Han Park, Sang Duk Yoo, Young Min Park, Hak Hwan Kim, Seon Young Myoung, Sang Bum Lee, Ki Tae Park, Myoung Sik Jung, Kyeong Ik Min
  • Patent number: 7291524
    Abstract: A method of fabricating a transistor device for regulating the flow of electric current is provided wherein the device has Schottky-barrier metal source-drain contacts. The method, in one embodiment, utilizes an isotropic etch process prior to the formation of the metal source-drain contacts to provide better control of the Schottky-barrier junction location to a channel region. The improvements from the controllability of the placement of the Schottky-barrier junction enables additional drive current and optimizes device performance, thereby significantly improving manufacturability.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: November 6, 2007
    Assignee: Spinnaker Semiconductor, Inc.
    Inventors: John P. Snyder, John M. Larson
  • Publication number: 20070228384
    Abstract: A system and method for producing a single-crystal germanium layer on a dielectric layer by producing a germanium-on-insulator assembly between the surface portions of the third material. The choice of location for these surface portions therefore makes it possible to define the zone on which it is desired to produce the germanium-on-insulator layer. The wafer may be freely chosen between a pure single-crystal silicon wafer and a silicon-on-insulator wafer. A single-crystal germanium first layer is produced on the surface portion of the silicon. The RPCVD produces a partially crystalline germanium first layer. The layer thus comprises various nuclei that have crystallized in possibly different lattices. After carrying out a recrystallization annealing operation, which makes the layer monocrystalline by recrystallizing the various nuclei in one and the same crystal lattice. Thus, the layers are continuous with the single-crystal silicon lattice.
    Type: Application
    Filed: January 16, 2007
    Publication date: October 4, 2007
    Applicant: STMicroelectronics (Crolles 2) SAS
    Inventors: Olivier Kermarec, Yves Campidelli
  • Patent number: 7276411
    Abstract: The a trench semiconductor device such as a power MOSFET the high electric field at the corner of the trench is diminished by increasing the thickness of the gate oxide layer at the bottom of the trench. Several processes for manufacturing such devices are described. In one group of processes a directional deposition of silicon oxide is performed after the trench has been etched, yielding a thick oxide layer at the bottom of the trench. Any oxide which deposits on the walls of the trench is removed before a thin gate oxide layer is grown on the walls. The trench is then filled with polysilicon in or more stages. In a variation of the process a small amount of photoresist is deposited on the oxide at the bottom of the trench before the walls of the trench are etched. Alternatively, polysilicon can be deposited in the trench and etched back until only a portion remains at the bottom of the trench. The polysilicon is then oxidized and the trench is refilled with polysilicon.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: October 2, 2007
    Assignee: Advanced Analogic Technologies, Inc.
    Inventors: Richard K. Williams, Wayne B. Grabowski
  • Patent number: 7265386
    Abstract: A thin film transistor array substrate and method for repairing the same are provided. Repairing lines are formed when the data lines on the thin film transistor array substrate are defined. Furthermore, the protruding portions and branches of common lines overlap with the repairing lines and the data lines respectively. The repairing method includes performing a laser welding operation to connect the common line with the data line, the repairing line or a scan line as well as removing a portion of the lines by laser. Thus, the thin film transistor array substrate and repairing method thereof can repair line defects and increase the manufacturing yield.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: September 4, 2007
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Chin-Sheng Chen, Chih-Hung Liu, Chien-Hsing Hung, Kun-Yuan Huang
  • Patent number: 7224008
    Abstract: The invention relates to a manufacturing method for an insulated gate semiconductor device cell, comprising the steps of forming a cell window (3) in a layered structure that is located on top of a semiconductor substrate (1), forming at least one process mask that partially covers the cell window (3). In forming the cell window (3), at least one strip (41, 42) of the layered structure is left to remain inside the cell window (3) and at least one strip (41, 42) is used to serve as an edge for the at least one process mask (51, 52). The invention further relates to an insulated gate semiconductor device, comprising a semiconductor substrate (1) having an essentially planar top surface and an insulated gate formed on the top surface by a layered structure (2) that comprises at least one electrically insulating layer (22), wherein at least one strip (41, 42) of the layered structure (2) is disposed on a third area of the top surface between an edge of the insulated gate and a first main contact (6).
    Type: Grant
    Filed: December 9, 2003
    Date of Patent: May 29, 2007
    Assignee: ABB Schweiz AG
    Inventors: Munaf Rahimo, Christoph Von Arx
  • Patent number: 7220650
    Abstract: An offset spacer layer for an LDD ion implantation process is formed by blanket deposition without photolithography and dry etch processes. The offset spacer layer remaining on LDD regions during an ion implantation process prevents a substrate from silicon loss and dosage contamination and has densified characteristics to improve device reliability.
    Type: Grant
    Filed: April 9, 2004
    Date of Patent: May 22, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Rong-Hui Kao, Chang-Sheng Tsao, Yen-Ming Chen, Lin-June Wu
  • Publication number: 20060258125
    Abstract: Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate while in situ doping the epitaxial layer to suppress facet formation. Suppression of faceting during selective epitaxial growth by in situ doping of the epitaxial layer at a predetermined level rather than by manipulating spacer composition and geometry alleviates the stringent requirements on the device design and increases tolerance to variability during the spacer fabrication.
    Type: Application
    Filed: July 20, 2006
    Publication date: November 16, 2006
    Applicant: AmberWave Systems Corporation
    Inventors: Thomas Langdo, Anthony Lochtefeld
  • Patent number: 7122449
    Abstract: Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate while in situ doping the epitaxial layer to suppress facet formation. Suppression of faceting during selective epitaxial growth by in situ doping of the epitaxial layer at a predetermined level rather than by manipulating spacer composition and geometry alleviates the stringent requirements on the device design and increases tolerance to variability during the spacer fabrication.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: October 17, 2006
    Assignee: Amberwave Systems Corporation
    Inventors: Thomas A. Langdo, Anthony J. Lochtefeld