Of Organic Layer (epo) Patents (Class 257/E21.242)
  • Patent number: 10770586
    Abstract: A multi-layer SiN stressing stack (structure) including a thin lower SiN layer and a thick upper SiN layer is formed over NiSi silicide structures and functions to generate tensile channel stress in NMOS transistors. The lower SiN layer is formed directly on the silicided surfaces, and has a low hydrogen content and a relatively low residual stress. The upper SiN layer is then formed on the lower SiN layer using process parameters that produce a relatively high residual stress, and also cause the upper SiN material to have relatively high hydrogen content. The lower SiN layer functions as a barrier that prevents/minimizes hydrogen migration to the silicide structures, which prevents defects leading to NiSi failures. The upper SiN layer functions to generate desirable high tensile stress in the underlying NMOS channel region to enhance the mobility of channel electrons. In some embodiments other dielectric materials are used.
    Type: Grant
    Filed: February 4, 2018
    Date of Patent: September 8, 2020
    Assignee: Tower Semiconductor Ltd.
    Inventors: Alexey Heiman, Igor Aisenberg, Abed Qaddah, Yakov Roizin
  • Patent number: 10453741
    Abstract: A method of making a semiconductor device includes forming a gate stack that include a gate electrode and a spacer layer extending along a sidewall of the gate electrode; forming a source/drain (S/D) feature that is adjacent to the gate stack; forming a dielectric layer over the gate stack and the S/D feature; forming a contact hole in the dielectric layer to expose the S/D feature, wherein the contact hole includes a first sidewall that is formed by the spacer layer and part of the dielectric layer; doping an upper portion of the first sidewall; and performing an etching process thereby cleaning oxides in the contact hole.
    Type: Grant
    Filed: April 12, 2017
    Date of Patent: October 22, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Chien Huang, Tsung-Yu Chiang
  • Patent number: 10332741
    Abstract: A method for post chemical mechanical polishing clean is provided in the present invention, which include the steps of providing a substrate, performing a chemical mechanical polishing process, and performing a plurality of cleaning processes sequentially substrate using solutions of sulfuric acid (H2SO4) and hydrogen peroxide (H2O2) with different ratios and at different temperatures.
    Type: Grant
    Filed: May 8, 2017
    Date of Patent: June 25, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Yi-Liang Ye, Kuang-Hsiu Chen, Chun-Wei Yu, Yu-Ren Wang
  • Patent number: 10043807
    Abstract: A semiconductor device and a method of forming the same, the semiconductor device includes a plural fin structures, two gates, a protection layer and an interlayer dielectric layer. The fin structures are disposed on a substrate. The two gates are disposed on the substrate across the fin structures. The protection layer is disposed on the substrate, surrounded sidewalls of the two gates. The interlayer dielectric layer is disposed on the substrate, covering the fin structures and the two gates.
    Type: Grant
    Filed: July 4, 2017
    Date of Patent: August 7, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Rung-Yuan Lee, Yu-Cheng Tung, Chun-Tsen Lu, En-Chiuan Liou, Kuan-Hung Chen
  • Patent number: 10020230
    Abstract: A device includes a substrate, a semiconductor fin over the substrate, and a gate dielectric layer on a top surface and sidewalls of the semiconductor fin. A gate electrode is spaced apart from the semiconductor fin by the gate dielectric layer. The gate electrode includes a top portion over and aligned to the semiconductor fin, and a sidewall portion on a sidewall portion of the dielectric layer. The top portion of the gate electrode has a first work function, and the sidewall portion of the gate electrode has a second work function different from the first work function.
    Type: Grant
    Filed: October 3, 2016
    Date of Patent: July 10, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Chin Kuo, Hsien-Ming Lee
  • Patent number: 10003018
    Abstract: Embodiments are described for annealing systems and related methods to process microelectronic workpieces using vertical multi-batch perpendicular magnetic annealing systems that allow for a side-by-side configuration of multiple annealing systems to satisfy reduced footprint requirements.
    Type: Grant
    Filed: May 8, 2017
    Date of Patent: June 19, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Ian Colgan, Ioan Domsa, George Eyres, Saito Makoto, Noel O'Shaughnessy, Toru Ishii, David Hurley
  • Patent number: 9966346
    Abstract: An embodiment bump on trace (BOT) structure includes a contact element supported by an integrated circuit, an under bump metallurgy (UBM) feature electrically coupled to the contact element, a metal bump on the under bump metallurgy feature, and a substrate trace on a substrate, the substrate trace coupled to the metal bump through a solder joint and intermetallic compounds, a ratio of a first cross sectional area of the intermetallic compounds to a second cross sectional area of the solder joint greater than forty percent.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: May 8, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Guan-Yu Chen, Yu-Wei Lin, Yu-Jen Tseng, Tin-Hao Kuo, Chen-Shien Chen
  • Patent number: 9934984
    Abstract: In one embodiment, a method for hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication includes providing a layer of a dielectric material and etching a trench in the layer of the dielectric material, by applying a mixture of an aggressive dielectric etch gas and a polymerizing etch gas to the layer of the dielectric material. In another embodiment, an integrated circuit includes a plurality of semiconductor devices and a plurality of conductive lines connecting the plurality of semiconductor devices. A pitch of the plurality of conductive lines is approximately twenty-eight nanometers.
    Type: Grant
    Filed: September 9, 2015
    Date of Patent: April 3, 2018
    Assignees: International Business Machines Corporation, Zeon Corporation
    Inventors: Robert L. Bruce, Eric A. Joseph, Joe Lee, Takefumi Suzuki
  • Patent number: 9897726
    Abstract: A color filter substrate includes a substrate, a barrier layer located on the substrate; and a plurality of color filtering units and a plurality of black matrixes located on the barrier layer. The barrier layer includes a plurality of first portions respectively corresponding with the plurality of color filtering units and a plurality of second portions respectively corresponding with the plurality of black matrixes. Each of the second portions defines at least one groove. Each of black matrixes comprises at least one protrusion received in the at least one groove of a corresponding second portion and a base coupled with the at least one protrusion.
    Type: Grant
    Filed: June 26, 2015
    Date of Patent: February 20, 2018
    Assignee: Century Technology (Shenzhen) Corporation Limited
    Inventors: Yue Zhang, Min Hu, Chen-Fu Mai
  • Patent number: 9741586
    Abstract: Some embodiments contemplate methods for forming a package structure and a package structure formed thereby. An embodiment method includes depositing a photosensitive dielectric layer on a support structure; forming a first layer on a surface of the photosensitive dielectric layer; exposing the photosensitive dielectric layer to radiation; and after the forming the first layer and the exposing to radiation, developing the photosensitive dielectric layer. The support structure includes an integrated circuit die. The layer has a different removal selectivity than the photosensitive dielectric layer during the developing. According to some embodiments, a thickness uniformity of the photosensitive dielectric layer after developing may be increased, and thickness loss from developing the photosensitive dielectric layer can be reduced.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: August 22, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Hsiang Hu, Chung-Shi Liu, Hung-Jui Kuo, Sih-Hao Liao
  • Patent number: 9721812
    Abstract: A method for fabricating an optical multi-chip module (MCM) includes temporarily curing an underfill material on a chip including an optical device to prevent flow of the underfill material. The chip is flip-chip mounted on a waveguide module having a mirror for directing light to or from the chip, wherein the underfill material is disposed between the chip and the waveguide module. The underfill material is cured to adhere the chip to the waveguide module.
    Type: Grant
    Filed: November 20, 2015
    Date of Patent: August 1, 2017
    Assignee: International Business Machines Corporation
    Inventors: Akihiro Horibe, Masao Tokunari
  • Patent number: 9543234
    Abstract: A method includes forming an opening in a dielectric layer, and forming a silicon rich layer on a surface of the dielectric layer. A portion of the silicon rich layer extends into the opening and contacts the dielectric layer. A tantalum-containing layer is formed over and the contacting the silicon rich layer. An annealing is performed to react the tantalum-containing layer with the silicon rich layer, so that a tantalum-and-silicon containing layer is formed.
    Type: Grant
    Filed: December 30, 2014
    Date of Patent: January 10, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shiu-Ko JangJian, Szu-An Wu, Ting-Chun Wang
  • Patent number: 9528078
    Abstract: An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Grant
    Filed: March 25, 2014
    Date of Patent: December 27, 2016
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David Angst, Peng Zhang, Jeffrey Barnes, Prerna Sonthalia, Emanuel Cooper, Karl Boggs
  • Patent number: 9520362
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a patterned dielectric layer having a plurality of first openings. The method includes forming a conductive liner layer over the patterned dielectric layer, the conductive liner layer partially filling the first openings. The method includes forming a trench mask layer over portions of the conductive liner layer outside the first openings, thereby forming a plurality of second openings, a subset of which are formed over the first openings. The method includes depositing a conductive material in the first openings to form a plurality of vias and in the second openings to form a plurality of metal lines. The method includes removing the trench mask layer.
    Type: Grant
    Filed: May 7, 2015
    Date of Patent: December 13, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Chieh Lin, Hung-Wen Su, Ming-Hsing Tsai, Syun-Ming Jang
  • Patent number: 9472638
    Abstract: A device includes a substrate, a semiconductor fin over the substrate, and a gate dielectric layer on a top surface and sidewalls of the semiconductor fin. A gate electrode is spaced apart from the semiconductor fin by the gate dielectric layer. The gate electrode includes a top portion over and aligned to the semiconductor fin, and a sidewall portion on a sidewall portion of the dielectric layer. The top portion of the gate electrode has a first work function, and the sidewall portion of the gate electrode has a second work function different from the first work function.
    Type: Grant
    Filed: August 13, 2015
    Date of Patent: October 18, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Chin Kuo, Hsien-Ming Lee
  • Patent number: 9373522
    Abstract: A method of removing titanium nitride hardmask is described. The hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process. The low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias. A non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper. The titanium nitride hardmask and the non-porous carbon layer are removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the non-porous carbon layer and the titanium nitride.
    Type: Grant
    Filed: January 22, 2015
    Date of Patent: June 21, 2016
    Assignee: Applied Mateials, Inc.
    Inventors: Xikun Wang, Mandar Pandit, Anchuan Wang, Nitin K. Ingle
  • Patent number: 9006019
    Abstract: A method for manufacturing a light-emitting device includes a step of forming an etching resistant protection layer on a substrate provided with an organic planarizing layer, a step of forming a plurality of electrodes on the etching resistant protection layer, a step of forming an organic compound layer on the substrate provided with the plurality of electrodes, a step of forming a resist layer on the organic compound layer formed on parts of electrodes among the plurality of electrodes using a photolithographic method, and a step of removing the organic compound layer in a region not covered with the resist layer by dry etching, wherein an entire surface of the organic planarizing layer on the substrate on which steps up to the step of forming the plurality of electrodes have been performed is covered with at least one of the etching resistant protection layer and the electrode.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: April 14, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Manabu Otsuka, Tomoyuki Hiroki
  • Patent number: 8951828
    Abstract: A method for making electronic devices based on derivatized ladder polymer poly(benzo-isimidazobenzophenanthroline) (BBL) including photovoltaic modules and simple thin film transistors in planar and mechanically flexible and stretchable constructs.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: February 10, 2015
    Assignee: The United States of America as Represented by the Secretary of the Navy
    Inventors: William W. Lai, Alfred J. Baca
  • Patent number: 8952502
    Abstract: One or more techniques or systems for forming a pattern during semiconductor fabrication are provided herein. In some embodiments, a photo resist (PR) region is patterned and a spacer region is formed above or surrounding at least a portion of the patterned PR region. Additionally, at least some of the spacer region and the patterned PR region are removed to form one or more spacers. Additionally, a block co-polymer (BCP) is filled between the spacers. In some embodiments, the BCP comprises a first polymer and a second polymer. In some embodiments, the second polymer is removed, thus forming a pattern comprising the first polymer and the spacers. In this manner, a method for forming a pattern during semiconductor fabrication is provided, such that a width of the spacer or the first polymer is controlled.
    Type: Grant
    Filed: August 27, 2013
    Date of Patent: February 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Cheng-Hsiung Tsai, Chung-Ju Lee, Yu-Sheng Chang, Tsung-Jung Tsai
  • Patent number: 8946093
    Abstract: In an imprint method of an embodiment, in the imprinting of an imprint shot including an outermost peripheral region of a substrate where resist is not desired to be entered at the time of imprinting, light curing the resist is applied to a light irradiation region with a predetermined width including a boundary between the outermost peripheral region and a pattern formation region more inside than the outermost peripheral region, whereby the resist which is to enter inside the outermost peripheral region is cured. Then, light curing the resist filled in a template pattern is applied onto a template.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: February 3, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shinji Mikami
  • Patent number: 8835260
    Abstract: A high-k metal gate stack and structures for CMOS devices and a method for forming the devices. The gate stack includes a germanium (Ge) material layer formed on the semiconductor substrate, a diffusion barrier layer formed on the Ge material layer, a high-k dielectric having a high dielectric constant greater than approximately 3.9 formed over the diffusion barrier layer, and a conductive electrode layer formed above the high-k dielectric layer.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Hemanth Jagannathan, Takashi Ando, Vijay Narayanan
  • Patent number: 8772182
    Abstract: A semiconductor device manufacture method has the steps of: (a) coating a low dielectric constant low-level insulating film above a semiconductor substrate formed with a plurality of semiconductor elements; (b) processing the low-level insulating film to increase a mechanical strength of the low-level insulating film; (c) coating a low dielectric constant high-level insulating film above the low-level insulating film; and (d) forming a buried wiring including a wiring pattern in the high-level insulating film and a via conductor in the low-level insulating film. The low-level insulating film and high-level insulating film are made from the same material. The process of increasing the mechanical strength includes an ultraviolet ray irradiation process or a hydrogen plasma applying process.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: July 8, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Yoshiyuki Ohkura
  • Patent number: 8679987
    Abstract: Embodiments described herein relate to a method for processing a substrate. In one embodiment, the method includes introducing a gas mixture comprising a hydrocarbon source and a diluent gas into a deposition chamber located within a processing system, generating a plasma from the gas mixture in the deposition chamber at a temperature between about 200° C. and about 700° C. to form a low-hydrogen content amorphous carbon layer on the substrate, transferring the substrate into a curing chamber located within the processing system without breaking vacuum, and exposing the substrate to UV radiation within the curing chamber at a curing temperature above about 200° C.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: March 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Patrick Reilly, Shahid Shaikh, Tersem Summan, Deenesh Padhi, Sanjeev Baluja, Juan Carlos Rocha-Alvarez, Thomas Nowak, Bok Hoen Kim, Derek R. Witty
  • Patent number: 8673793
    Abstract: A method for calculating an offset value for aligned deposition of a second pattern onto a first pattern, comprising steps of: (a) loading a substrate with the first pattern on a surface of the substrate into a pattern recognition device at an original position inside the pattern recognition device; (b) determining a coordinate of a prescribed point of the first pattern by the pattern recognition device; (c) superimposing the second pattern onto the first pattern on the surface of the substrate; (d) bringing back the substrate with the first pattern and the second pattern into the original position inside the pattern recognition device; (e) determining a coordinate of a prescribed point of the second pattern by the pattern recognition device; wherein the prescribed point of the first pattern corresponds to the prescribed point of the second pattern; and (f) calculating the offset value between the first pattern and the second pattern.
    Type: Grant
    Filed: January 25, 2012
    Date of Patent: March 18, 2014
    Inventor: Andreas Meisel
  • Patent number: 8637113
    Abstract: A method of forming a non-volatile resistive oxide memory array includes forming a plurality of one of conductive word lines or conductive bit lines over a substrate. Metal oxide-comprising material is formed over the plurality of said one of the word lines or bit lines. A series of elongated trenches is provided over the plurality of said one of the word lines or bit lines. A plurality of self-assembled block copolymer lines is formed within individual of the trenches in registered alignment with and between the trench sidewalls. A plurality of the other of conductive word lines or conductive bit lines is provided from said plurality of self-assembled block copolymer lines to form individually programmable junctions comprising said metal oxide-comprising material where the word lines and bit lines cross one another.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: January 28, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, John Smythe, Bhaskar Srinivasan
  • Patent number: 8603867
    Abstract: A composition for removing a photoresist, the composition including about 1% by weight to about 10% by weight of tetramethyl ammonium hydroxide (“TMAH”), about 1% by weight to about 10% by weight of an alkanol amine, about 50% by weight to about 70% by weight of a glycol ether compound, about 0.01% by weight to about 1% by weight of a triazole compound, about 20% by weight to about 40% by weight of a polar solvent, and water, each based on a total weight of the composition.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: December 10, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Bong-Kyun Kim, Shin-Il Choi, Hong-Sick Park, Wang-Woo Lee, Seok-Jun Jang, Byung-Uk Kim, Sun-Joo Park, Suk-Il Yoon, Jong-Hyun Jeong, Soon-Beom Hur
  • Patent number: 8541257
    Abstract: A method for forming an electronic device having a semiconducting active layer comprising a polymer, the method comprising aligning the chains of the polymer parallel to each other by bringing the polymer into a liquid-crystalline phase.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: September 24, 2013
    Assignee: Cambridge University Technical Services Limited
    Inventors: Henning Sirringhaus, Richard Henry Friend, Richard John Wilson
  • Patent number: 8518836
    Abstract: One or more techniques or systems for forming a pattern during semiconductor fabrication are provided herein. In some embodiments, a photo resist (PR) region is patterned and a spacer region is formed above or surrounding at least a portion of the patterned PR region. Additionally, at least some of the spacer region and the patterned PR region are removed to form one or more spacers. Additionally, a block co-polymer (BCP) is filled between the spacers. In some embodiments, the BCP comprises a first polymer and a second polymer. In some embodiments, the second polymer is removed, thus forming a pattern comprising the first polymer and the spacers. In this manner, a method for forming a pattern during semiconductor fabrication is provided, such that a width of the spacer or the first polymer is controlled.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: August 27, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Cheng-Hsiung Tsai, Chung-Ju Lee, Yu-Sheng Chang, Tsung-Jung Tsai
  • Patent number: 8481429
    Abstract: A method of manufacturing a semiconductor device is provided. According to an embodiment, the method includes forming a layer to be etched on a semiconductor substrate, and forming a photoresist pattern on the layer to be etched. A block copolymer including a hydrophobic radical and a hydrophilic radical is formed in the photoresist pattern, and the block copolymer is assembled to allow a polymer having the hydrophobic radical to be formed in a pillar pattern within a polymer having the hydrophilic radical. The polymer having the hydrophobic radical is then selectively removed.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: July 9, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Heon Kim, Cheol Kyu Bok
  • Patent number: 8466013
    Abstract: The present invention provides a method for manufacturing a semiconductor structure, which comprises: providing an SOI substrate, and forming a gate structure on the SOI substrate; etching an SOI layer and a BOX layer of the SOI substrates on both sides of the gate structure, so as to form trenches exposing the BOX layer and extending partially into the BOX layer; forming metal sidewall spacers on sidewalls of the trenches, wherein the metal sidewall spacers is in contact with the SOI layer under the gate structure; forming an insulating layer filling partially the trenches, and forming a dielectric layer to cover the gate structure and the insulating layer; etching the dielectric layer to form first contact through holes that expose at least partially the insulating layer, and etching the insulating layer from the first contact through holes to form second contact through holes that expose at least partially the metal sidewall spacer; filling the first contact through holes and the second contact through hol
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: June 18, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Patent number: 8431433
    Abstract: A semiconductor layer and device can be provided using a method including thermally converting an aromatic, non-polymeric amic acid salt to a corresponding arylene diimide. The semiconducting thin films can be used in various articles including thin-film transistor devices that can be incorporated into a variety of electronic devices. In this manner, the arylene diimide need not be coated but is generated in situ from a solvent-soluble, easily coated aromatic, non-polymeric amic acid salt at relatively lower temperature because the cation portion of the salt acts as an internal catalyst.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: April 30, 2013
    Assignee: Eastman Kodak Company
    Inventors: Deepak Shukla, Dianne M. Meyer, Wendy G. Ahearn
  • Patent number: 8372759
    Abstract: Methods for sealing a porous dielectric are presented including: receiving a substrate, the substrate including the porous dielectric; exposing the substrate to an organosilane, where the organosilane includes a hydrolysable group for facilitating attachment with the porous dielectric, and where the organosilane does not include an alkyl group; and forming a layer as a result of the exposing to seal the porous dielectric. In some embodiments, methods are presented where the organosilane includes: alkynyl groups, aryl groups, fluoroalkyl groups, heteroaryl groups, alcohol groups, thiol groups, amine groups, thiocarbamate groups, ester groups, ether groups, sulfide groups, and nitrile groups. In some embodiments, method further include: removing contamination from the porous dielectric and a conductive region of the substrate prior to the exposing; and removing contamination from the conductive region after the forming.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: February 12, 2013
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Tony P. Chiang, Majid Keshavarz
  • Publication number: 20120301674
    Abstract: The present disclosure includes a method for organizing a block copolymer (BCP) comprising contacting a substrate with the block copolymer, and exposing the BCP-coated substrate to a suitable energy source under conditions sufficient to induce substrate heating and organize the block copolymer.
    Type: Application
    Filed: February 7, 2011
    Publication date: November 29, 2012
    Inventors: Jillian Buriak, Xiaojiang Zhang, Kenneth Harris
  • Publication number: 20120282784
    Abstract: In one exemplary embodiment, a method includes: providing a structure having a first layer overlying a substrate, where the first layer includes a dielectric material having a plurality of pores; applying a filling material to an exposed surface of the first layer; heating the structure to a first temperature to enable the filling material to homogeneously fill the plurality of pores; after filling the plurality of pores, performing at least one process on the structure; and after performing the at least one process, removing the filling material from the plurality of pores by heating the structure to a second temperature to decompose the filling material.
    Type: Application
    Filed: July 19, 2012
    Publication date: November 8, 2012
    Applicant: International Business Machines Corporation
    Inventors: Sampath Purushothaman, Geraud Jean-Michel Dubois, Teddie P. Magbitang, Willi Volksen, Theo J. Frot
  • Patent number: 8278135
    Abstract: There is provided a film formation apparatus which is capable of forming an EL layer using an EL material with high purity. The EL material is purified by sublimation immediately before film formation in the film formation apparatus, to thereby remove oxygen, water, and another impurity, which are included in the EL material. Also, when film formation is performed using the EL material (high purity EL material) obtained by purifying with sublimation as an evaporation source, a high purity EL layer can be formed.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: October 2, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toshimitsu Konuma, Takeshi Nishi
  • Publication number: 20120187422
    Abstract: A semiconductor substrate that includes a semiconductor layer that exhibits high crystallinity includes a graphite layer formed of a heterocyclic polymer obtained by condensing an aromatic tetracarboxylic acid and an aromatic tetramine, and a semiconductor layer that is grown on the surface of the graphite layer, or includes a substrate that includes a graphite layer formed of a heterocyclic polymer obtained by condensing an aromatic tetracarboxylic acid and an aromatic tetramine on its surface, a buffer layer that is grown on the surface of the graphite layer, and a semiconductor layer that is grown on the surface of the buffer layer.
    Type: Application
    Filed: September 7, 2010
    Publication date: July 26, 2012
    Applicants: TOKAI CARBON CO., LTD., THE UNIVERSITY OF TOKYO
    Inventors: Hiroshi Fujioka, Tetsuro Hirasaki, Hitoshi Ue, Junya Yamashita, Hiroaki Hatori
  • Patent number: 8188577
    Abstract: The present invention provides a production method of a semiconductor device, involving formation of a flattening layer and easy process for layers formed on a semiconductor layer, and also provides a semiconductor device preferably produced by such a production method. The present invention further provides an exposure apparatus preferably used in such a production method.
    Type: Grant
    Filed: July 14, 2008
    Date of Patent: May 29, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Seiichi Uchida, Hiroyuki Ogawa
  • Patent number: 8114468
    Abstract: A method of forming a non-volatile resistive oxide memory array includes forming a plurality of one of conductive word lines or conductive bit lines over a substrate. Metal oxide-comprising material is formed over the plurality of said one of the word lines or bit lines. A series of elongated trenches is provided over the plurality of said one of the word lines or bit lines. A plurality of self-assembled block copolymer lines is formed within individual of the trenches in registered alignment with and between the trench sidewalls. A plurality of the other of conductive word lines or conductive bit lines is provided from said plurality of self-assembled block copolymer lines to form individually programmable junctions comprising said metal oxide-comprising material where the word lines and bit lines cross one another.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: February 14, 2012
    Assignee: Boise Technology, Inc.
    Inventors: Gurtej Sandhu, John Smythe, Bhaskar Srinivasan
  • Publication number: 20110287633
    Abstract: A method of forming an amorphous carbon layer on a substrate in a substrate processing chamber, includes introducing a hydrocarbon source into the processing chamber, introducing argon, alone or in combination with helium, hydrogen, nitrogen, and combinations thereof, into the processing chamber, wherein the argon has a volumetric flow rate to hydrocarbon source volumetric flow rate ratio of about 10:1 to about 20:1, generating a plasma in the processing chamber at a substantially lower pressure of about 2 Torr to 10 Torr, and forming a conformal amorphous carbon layer on the substrate.
    Type: Application
    Filed: May 20, 2010
    Publication date: November 24, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kwangduk Douglas Lee, Martin Jay Seamons, Sudha Rathi, Chiu Chan, Michael H. Lin
  • Patent number: 8048814
    Abstract: A method of aligning a set of patterns on a substrate, the substrate including a substrate surface, is disclosed. The method includes depositing a set of silicon nanoparticles on the substrate surface, the set of nanoparticles including a set of ligand molecules including a set of carbon atoms, wherein a first set of regions is formed where the silicon nanoparticles are deposited and the remaining portions of the substrate surface define a second set of regions. The method also includes densifying the set of silicon nanoparticles into a thin film wherein a set of silicon-organic zones are formed on the substrate surface, wherein the first set of regions has a first reflectivity value and the second set of regions has a second reflectivity value. The method further includes illuminating the substrate surface with an illumination source, wherein the ratio of the second reflectivity value to the first reflectivity value is greater than about 1.1.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: November 1, 2011
    Assignee: Innovalight, Inc.
    Inventors: Andreas Meisel, Michael Burrows, Homer Antoniadis
  • Publication number: 20110263136
    Abstract: In a composition of forming a passivation layer, the composition includes about 30 to about 60 percent by weight of a mixed polymer resin formed by blending polyamic acid and polyhydroxy amide, about 3 to about 10 percent by weight of a photoactive compound, about 2 to about 10 percent by weight of a cross-linking agent and an organic solvent. The passivation layer formed by using the composition has superior mechanical and physical properties, in which disadvantages of polyimide and polybenzoxazole are compensated by mixing both materials.
    Type: Application
    Filed: March 23, 2011
    Publication date: October 27, 2011
    Inventors: Soo-Young Kim, Chang-Ho Lee, Su-Min Park
  • Patent number: 8039296
    Abstract: An organic thin film transistor array panel according to an embodiment of the present invention includes: a substrate; a data line disposed on the substrate; an insulating layer disposed on the data line and having a contact hole exposing the data line; a first electrode disposed on the insulating layer and connected to the data line through the contact hole; a second electrode disposed on the insulating layer; an organic semiconductor disposed on the first and the second electrodes; a gate insulator disposed on the organic semiconductor; and a gate electrode disposed on the gate insulator.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: October 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bo-Sung Kim, Yong-Uk Lee, Mun-Pyo Hong
  • Patent number: 8026606
    Abstract: A structure and a method for forming the same. The structure includes (a) an interlevel dielectric (ILD) layer; (b) a first electrically conductive line and a second electrically conductive line both residing in the ILD layer; (c) a diffusion barrier region residing in the ILD layer. The diffusion barrier region (i) physically isolates, (ii) electrically couples together, and (iii) are in direct physical contact with the first and second electrically conductive lines. The first and second electrically conductive lines each comprises a first electrically conductive material. The diffusion barrier region comprises a second electrically conductive material different from the first electrically conductive material. The diffusion barrier region is adapted to prevent a diffusion of the first electrically conductive material through the diffusion barrier region.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: September 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Stephen Ellinwood Luce, Thomas Leddy McDevitt, Anthony Kendall Stamper
  • Publication number: 20110230058
    Abstract: There is provided underlayer films of high-energy radiation resists that are applied onto semiconductor substrates in a lithography process for producing semiconductor devices and that are used to prevent reflection, static electrification, and development defects and to suppress outgassing during the exposure of resist layers with high-energy radiation. A composition for forming an underlayer film of a high-energy radiation resist, the composition comprising a film component having an aromatic ring structure or a hetero ring structure. The film component having an aromatic ring structure or a hetero ring structure is contained preferably in a film at a proportion of 5 to 85% by mass. The film component may be a compound having an aromatic ring structure or a hetero ring structure, and the compound may be a polymer or a polymer precursor including a specific repeating unit. The aromatic ring may be a benzene ring or fused benzene ring, and the hetero ring structure may be triazinetrione ring.
    Type: Application
    Filed: November 19, 2009
    Publication date: September 22, 2011
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Rikimaru Sakamoto, Bangching Ho, Takafumi Endo
  • Patent number: 7999355
    Abstract: The present invention is a process for spin-on deposition of a silicon dioxide-containing film under oxidative conditions for gap-filling in high aspect ratio features for shallow trench isolation used in memory and logic circuit-containing semiconductor substrates, such as silicon wafers having one or more integrated circuit structures contained thereon, comprising the steps of: providing a semiconductor substrate having high aspect ratio features; contacting the semiconductor substrate with a liquid formulation comprising a low molecular weight aminosilane; forming a film by spreading the liquid formulation over the semiconductor substrate; heating the film at elevated temperatures under oxidative conditions. Compositions for this process are also set forth.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: August 16, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Scott Jeffrey Weigel, Mark Leonard O'Neill, Bing Han, Hansong Cheng, Manchao Xiao, Chia-Chien Lee
  • Patent number: 7972972
    Abstract: Methods for sealing a porous dielectric are presented including: receiving a substrate, the substrate including the porous dielectric; exposing the substrate to an organosilane, where the organosilane includes a hydrolysable group for facilitating attachment with the porous dielectric, and where the organosilane does not include an alkyl group; and forming a layer as a result of the exposing to seal the porous dielectric. In some embodiments, methods are presented where the organosilane includes: alkynyl groups, aryl groups, fluoroalkyl groups, heteroarlyl groups, alcohol groups, thiol groups, amine groups, thiocarbamate groups, ester groups, ether groups, sulfide groups, and nitrile groups. In some embodiments, method further include: removing contamination from the porous dielectric and a conductive region of the substrate prior to the exposing; and removing contamination from the conductive region after the forming.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: July 5, 2011
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Tony P. Chiang, Majid Keshavarz
  • Patent number: 7973390
    Abstract: A modifier for lowering relative dielectric constant of a low dielectric constant film used in semiconductor devices, the modifier of the low dielectric constant film being characterized in that it contains as an effective component a silicon compound represented by formula (1) R3-nHnSiN3??(1) in which R is a C1-C4 alkyl group, and n is an integer from 0 to 3.
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: July 5, 2011
    Assignee: Central Glass Company, Limited
    Inventors: Tsuyoshi Ogawa, Mitsuya Ohashi
  • Patent number: 7964507
    Abstract: A method of manufacturing an electronic device (10) provides a substrate (20) that has a plastic material. A particulate material (16) is embedded in at least one surface of the substrate. A layer of thin-film semiconductor material is deposited onto the substrate (20).
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: June 21, 2011
    Assignee: Eastman Kodak Company
    Inventors: Timothy J. Tredwell, Roger S. Kerr
  • Patent number: 7951726
    Abstract: The present invention relates to an organic/inorganic hybrid thin film passivation layer comprising an organic polymer passivation layer prepared by a UV/ozone curing process and an inorganic thin film passivation layer for blocking moisture and oxygen transmission of an organic electronic device fabricated on a substrate and improving gas barrier property of a plastic substrate; and a fabrication method thereof. Since the organic/inorganic hybrid thin film passivation layer of the present invention converts the surface polarity of an organic polymer passivation layer into hydrophilic by using the UV/ozone curing process, it can improve the adhesion strength between the passivation layer interfaces, increase the light transmission rate due to surface planarization of the organic polymer passivation layer, and enhance gas barrier property by effectively blocking moisture and oxygen transmission.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: May 31, 2011
    Assignee: Korea Institute of Science and Technology
    Inventors: Jai Kyeong Kim, Jung Soo Park, June Whan Choi, Dae-Seok Na, Jae-Hyun Lim, Joo-Won Lee
  • Publication number: 20110092061
    Abstract: A method of forming silicon oxide includes depositing a silicon nitride-comprising material over a substrate. The silicon nitride-comprising material has an elevationally outermost silicon nitride-comprising surface. Such surface is treated with a fluid that is at least 99.5% H2O by volume. A polysilazane-comprising spin-on dielectric material is formed onto the H2O-treated silicon nitride-comprising surface. The polysilazane-comprising spin-on dielectric material is oxidized to form silicon oxide. Other implementations are contemplated.
    Type: Application
    Filed: October 20, 2009
    Publication date: April 21, 2011
    Inventors: Yunjun Ho, Brent Gilgen