Using Masks (epo) Patents (Class 257/E21.258)
  • Publication number: 20120208367
    Abstract: A method for fabricating a carbon hard mask layer includes: loading a substrate with a pattern target layer into a chamber; performing a primary thermal treatment on the substrate; depositing a carbon hard mask layer over the pattern target layer by using CxHy gas to perform the primary thermal treatment; performing a secondary thermal treatment on the substrate on which the carbon hard mask layer is deposited; and performing an oxygen treatment on the carbon hard mask layer.
    Type: Application
    Filed: June 15, 2011
    Publication date: August 16, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Tai Ho KIM
  • Publication number: 20120190166
    Abstract: A method for manufacturing a semiconductor device comprises forming a base film on a semiconductor substrate, forming an amorphous carbon film on the base film, forming a pattern of the amorphous carbon film, and etching the base film using the amorphous carbon film as a mask. The film density of the amorphous carbon film is reduced from surface of the amorphous carbon film to face of the amorphous carbon film adjacent to the base film.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 26, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Kazuhiro OKUDA
  • Patent number: 8227352
    Abstract: Embodiments described herein relate to materials and processes for patterning and etching features in a semiconductor substrate. In one embodiment, a method of forming a composite amorphous carbon layer for improved stack defectivity on a substrate is provided. The method comprises positioning a substrate in a process chamber, introducing a hydrocarbon source gas into the process chamber, introducing a diluent source gas into the process chamber, introducing a plasma-initiating gas into the process chamber, generating a plasma in the process chamber, forming an amorphous carbon initiation layer on the substrate, wherein the hydrocarbon source gas has a volumetric flow rate to diluent source gas flow rate ratio of 1:12 or less; and forming a bulk amorphous carbon layer on the amorphous carbon initiation layer, wherein a hydrocarbon source gas used to form the bulk amorphous carbon layer has a volumetric flow rate to a diluent source gas flow rate of 1:6 or greater to form the composite amorphous carbon layer.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: July 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hang Yu, Deenesh Padhi, Man-Ping Cai, Naomi Yoshida, Li Yan Miao, Siu F. Cheng, Shahid Shaikh, Sohyun Park, Heung Lak Park, Bok Hoen Kim
  • Publication number: 20120184106
    Abstract: An embodiment of a system and method produces a random half pitched interconnect layout. A first normal-pitch mask and a second normal-pitch mask are created from a metallization layout having random metal shapes. The lines and spaces of the first mask are printed at normal pitch and then the lines are shrunk to half pitch on mask material. First spacers are used to generate a half pitch dimension along the outside of the lines of the first mask. The mask material outside of the first spacer pattern is partially removed. The spacers are removed and the process is repeated with the second mask. The mask material remains at the locations of first set of spacers and/or the second set of spacers to create a half pitch interconnect mask with constant spaces.
    Type: Application
    Filed: March 28, 2012
    Publication date: July 19, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Werner Juengling
  • Patent number: 8183081
    Abstract: Embodiments of the invention generally provide a high efficiency solar cell using a novel processing sequence to form a solar cell device. In one embodiment, the methods include forming one or more layers on a backside of a solar cell substrate prior to the texturing process to prevent attack of the backside surface of the substrate. In one embodiment, the one or more layers are a metalized backside contact structure that is formed on the backside of the solar cell substrate. In another embodiment, the one or more layers are a chemical resistant dielectric layer that is formed over the backside of the solar cell substrate.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: May 22, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Rohit Mishra, Michael P. Stewart, Yonghwa Chris Cha, Kapila P. Wijekoon, Hongbin Fang
  • Publication number: 20120064713
    Abstract: A method of patterning an insulation layer is described. The method includes preparing a feature pattern in an insulation layer using at least one hard mask layer formed on the insulation layer, where the insulation layer contains a low-k material having a dielectric constant less than the dielectric constant of SiO2. The method further includes removing the at least one hard mask layer to expose a flat field surface of the insulation layer and, following the removing, forming a passivation layer on the flat field surface to protect the insulation layer using gas cluster ion beam (GCIB) irradiation of the insulation layer, wherein the GCIB irradiation is configured to grow or deposit the passivation layer on the flat field surface.
    Type: Application
    Filed: September 10, 2010
    Publication date: March 15, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Noel RUSSELL, Douglas M. TRICKETT, Kaushik Arun KUMAR
  • Patent number: 8119531
    Abstract: A method of forming a trench is provided that includes providing a stack having a semiconductor layer or dielectric layer, a metal nitride layer, a leveling layer, and a first mask layer. First trenches are etched through the first mask layer and the leveling layer. The first mask layer is removed. A second mask layer is formed on the leveling layer. Second trenches are formed through the second mask layer, wherein the base of the second trenches do not extend through the metal nitride layer. The second mask layer is removed. Exposed portions of the metal nitride layer are etched selectively to the semiconductor layer and remaining portions of the leveling layer to extend the first trenches and the second trenches into contact with an upper surface of the semiconductor layer.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Sean D. Burns, Matthew E. Colburn, Yunpeng Yin
  • Publication number: 20120015521
    Abstract: Embodiments described herein relate to materials and processes for patterning and etching features in a semiconductor substrate. In one embodiment, a method of forming a composite amorphous carbon layer for improved stack defectivity on a substrate is provided. The method comprises positioning a substrate in a process chamber, introducing a hydrocarbon source gas into the process chamber, introducing a diluent source gas into the process chamber, introducing a plasma-initiating gas into the process chamber, generating a plasma in the process chamber, forming an amorphous carbon initiation layer on the substrate, wherein the hydrocarbon source gas has a volumetric flow rate to diluent source gas flow rate ratio of 1:12 or less; and forming a bulk amorphous carbon layer on the amorphous carbon initiation layer, wherein a hydrocarbon source gas used to form the bulk amorphous carbon layer has a volumetric flow rate to a diluent source gas flow rate of 1:6 or greater to form the composite amorphous carbon layer.
    Type: Application
    Filed: April 25, 2011
    Publication date: January 19, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hang Yu, Deenesh Padhi, Man-Ping Cai, Naomi Yoshida, Li Yan Miao, Siu F. Cheng, Shahid Shaikh, Sohyun Park, Heung Lak Park, Bok Hoen Kim
  • Patent number: 8080455
    Abstract: A method for fabricating a semiconductor device is provided. A substrate comprising a P-well is provided. A low voltage device area and a high voltage device area are defined in the P-well. A photoresist layer is formed on the substrate. A photomask comprising a shielding region is provided. The shielding region is corresponded to the high voltage device area. A pattern of the photomask is transferred to the photoresist layer on the substrate by a photolithography process using the photomask. A P-type ion field is formed outside of the high-voltage device area by selectively doping P-type ions into the substrate using the photoresist layer as a mask.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: December 20, 2011
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Chih-Ping Lin, Pi-Kuang Chuang, Hung-Li Chang, Shih-Ming Chen, Hsiao-Ying Yang
  • Patent number: 7939436
    Abstract: A method of fabricating a semiconductor device forms a micro-sized gate, and mitigates short channel effects. The method includes a pull-back process to form the gate on a substrate. The method also includes forming inner and outer spacers on the gate that are asymmetric to one another with respect to the gate, and using the spacers in forming junction regions in the substrate on opposite sides of the gate. In particular, the inner and outer spacers are formed on opposite sides of the gate so as to have different thicknesses at the bottom of the gate. The inner and outer junction regions are formed by doping the substrate before and after the spacers are formed. Thus, the inner and outer junction regions have extension regions under the inner and outer spacers, respectively, and the extension regions have different lengths.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: May 10, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Min Kim, Min-Sang Kim, Keun-Hwi Cho, Ji-Myoung Lee
  • Publication number: 20110092046
    Abstract: An apparatus and method for holding a semiconductor device in a wafer. A bar is connected to the wafer. A first sidewall comprises a first end and a second, and is connected to the bar at its first end. A first tab comprises a first end and a second end, and is connected to the second end of the first sidewall at its first end and connected to the first side of the semiconductor device at its second end. The thickness of the first tab is less than the thickness of the bar and the thickness of the first sidewall.
    Type: Application
    Filed: December 28, 2010
    Publication date: April 21, 2011
    Applicant: APPLIED NANOSTRUCTURES, INC.
    Inventor: Ami Chand
  • Patent number: 7863151
    Abstract: A manufacturing method for manufacturing a super-junction semiconductor device forms an oxide film and a nitride film on an n-type epitaxial layer exhibiting high resistance on an n-type semiconductor substrate exhibiting low resistance. The portion of the nitride film in the scribe region is left unremoved by patterning and an alignment marker is opened through the nitride film. After opening a trench pattern in the oxide film, trenches having a high aspect ratio are formed. The portion of the oxide film outside the scribe region is removed and a p-type epitaxial layer is buried in the trenches. The overgrown p-type epitaxial layer is polished with reference to the nitride film, the polished surface is finished by etching, and the n-type epitaxial layer surface is exposed.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: January 4, 2011
    Assignee: Fuji Electric Systems Co., Ltd.
    Inventor: Manabu Takei
  • Publication number: 20100173462
    Abstract: A method of fabricating a nanotube field-effect transistor having unipolar characteristics and a small inverse sub-threshold slope includes forming a local gate electrode beneath the nanotube between drain and source electrodes of the transistor and doping portions of the nanotube. In a further embodiment, the method includes forming at least one trench in the gate dielectric (e.g., a back gate dielectric) and back gate adjacent to the local gate electrode. Another aspect of the invention is a nanotube field-effect transistor fabricated using such a method.
    Type: Application
    Filed: March 19, 2010
    Publication date: July 8, 2010
    Applicant: International Business Machines Corporation
    Inventors: Joerg Appenzeller, Phaedon Avouris, Yu-Ming Lin
  • Patent number: 7727899
    Abstract: A manufacturing method of a semiconductor device is carried out as follows. A first mask layer having a first linear opening pattern is formed above the first interlayer insulating layer. A second mask layer having a plurality of second linear opening patterns and first dummy opening patterns is formed above the first mask layer. The plurality of second linear opening patterns are aligned above the first linear opening pattern at given intervals to cross the first linear opening pattern. The first dummy opening patterns are arranged in close proximity to a first pattern remaining region that is present between the second linear opening patterns adjacent to each other. The first interlayer insulating layer that is present below opening patterns obtained by overlap portions of the first linear opening pattern and the second linear opening patterns is etched to form holes.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: June 1, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hisataka Hayashi
  • Publication number: 20100130015
    Abstract: Disclosed is a patterning method including: forming a first film on a substrate; forming a first resist film on the first film; processing the first resist film into a first resist pattern having a preset pitch by photolithography; forming a silicon oxide film on the first resist pattern and the first film by alternately supplying a first gas containing organic silicon and a second gas containing an activated oxygen species to the substrate; forming a second resist film on the silicon oxide film; processing the second resist film into a second resist pattern having a preset pitch by the photolithography; and processing the first film by using the first resist pattern and the second resist pattern as a mask.
    Type: Application
    Filed: June 6, 2008
    Publication date: May 27, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Pao-Hwa Chou, Mitsuaki Iwashita, Reiji Niino
  • Publication number: 20100068884
    Abstract: A method of semiconductor fabrication including an etching process is provided. The method includes providing a substrate and forming a target layer on the substrate. An etchant layer is formed on the target layer. The etchant layer reacts with the target layer and etches a portion of the target layer. In an embodiment, an atomic layer of the target layer is etched. The etchant layer is then removed from the substrate. The process may be iterated any number of times to remove a desired amount of the target layer. In an embodiment, the method provides for decreased lateral etching. The etchant layer may provide for improved control in forming patterns in thin target layers such as, capping layers or high-k dielectric layers of a gate structure.
    Type: Application
    Filed: January 29, 2009
    Publication date: March 18, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ryan Chia-Jen Chen, Yi-Hsing Chen, Ching-Yu Chang
  • Publication number: 20100053716
    Abstract: In a fabrication method of fabricating a structure, a basic etching mask corresponding a target shape with a convex corner, and a correction etching mask with a first portion, a second portion and an opening portion are formed on a single-crystal silicon substrate with a (100) principal face, and the silicon substrate with the basic etching mask and the correction etching mask formed thereon is subjected to an anisotropic etching to form the silicon substrate having the target shape. The first portion extends in a <110> direction, respective ends of the first portion are connected to the basic etching mask, and at least one end of the first portion is connected to the convex corner of the basic etching mask. The second portion is connected to a side of the first portion extending in the <110> direction, the second portion includes at least one convex corner, and the opening portion extends straddling a boundary between the first portion and the second portion.
    Type: Application
    Filed: September 2, 2009
    Publication date: March 4, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Toshiyuki Ogawa, Takahisa Kato, Kazutoshi Torashima, Takahiro Akiyama
  • Publication number: 20100003823
    Abstract: A method for forming a semiconductor structure includes the following steps. A hard mask layer is formed over a semiconductor region. The hard mask layer has inner portions that are thinner than its outer portions, and the inner portions define an exposed surface area of the semiconductor region. A portion of the semiconductor region is removed through the exposed surface area of the semiconductor region. The thinner portions of the hard mask layer are removed to expose surface areas of the semiconductor region underlying the thinner portions. An additional portion of the semiconductor region is removed through all exposed surface areas of the semiconductor region thereby forming a trench having an upper portion that is wider than its lower portion.
    Type: Application
    Filed: December 3, 2008
    Publication date: January 7, 2010
    Inventors: Hui Chen, Ihsiu Ho, Stacy W. Hall, Briant Harward, Hossein Paravi
  • Publication number: 20090317959
    Abstract: A manufacturing method for manufacturing a super-junction semiconductor device forms an oxide film and a nitride film on an n-type epitaxial layer exhibiting high resistance on an n-type semiconductor substrate exhibiting low resistance. The portion of the nitride film in the scribe region is left unremoved by patterning and an alignment marker is opened through the nitride film. After opening a trench pattern in the oxide film, trenches having a high aspect ratio are formed. The portion of the oxide film outside the scribe region is removed and a p-type epitaxial layer is buried in the trenches. The overgrown p-type epitaxial layer is polished with reference to the nitride film, the polished surface is finished by etching, and the n-type epitaxial layer surface is exposed.
    Type: Application
    Filed: June 23, 2009
    Publication date: December 24, 2009
    Applicant: FUJI ELECTRIC DEVICE TECHNOLOGY CO., LTD.
    Inventor: Manabu TAKEI
  • Publication number: 20090239369
    Abstract: Methods of forming integrated circuit device having electrical interconnects include forming an electrically insulating layer on a substrate and forming a hard mask on the electrically insulating layer. The hard mask and the electrically insulating layer are selectively etched in sequence using a mask to define an opening therein. This opening, which may be a via hole, exposes inner sidewalls of the hard mask and the electrically insulating layer. The inner sidewall of the hard mask is then recessed relative to the inner sidewall of the electrically insulating layer and a sacrificial reaction layer is formed on the inner sidewall of the electrically insulating layer. This reaction layer operates to recess the inner sidewall of the electrically insulating layer. The reaction layer is then removed to define a wider opening having relatively uniform sidewalls. This wider opening is then filled with an electrical interconnect.
    Type: Application
    Filed: March 19, 2008
    Publication date: September 24, 2009
    Inventors: Jae-hak Kim, Jing Hui Li, Wu Ping Liu, Johnny Widodo
  • Publication number: 20090236681
    Abstract: A method for fabricating a semiconductor device is provided. A substrate comprising a P-well is provided. A low voltage device area and a high voltage device area are defined in the P-well. A photoresist layer is formed on the substrate. A photomask comprising a shielding region is provided. The shielding region is corresponded to the high voltage device area. A pattern of the photomask is transferred to the photoresist layer on the substrate by a photolithography process using the photomask. A P-type ion field is formed outside of the high-voltage device area by selectively doping P-type ions into the substrate using the photoresist layer as a mask.
    Type: Application
    Filed: July 22, 2008
    Publication date: September 24, 2009
    Applicant: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Chih-Ping Lin, Pi-Kuang Chuang, Hung-Li Chang, Shih-Ming Chen, Hsiao-Ying Yang
  • Publication number: 20090224283
    Abstract: A method of fabricating a photoelectric device of Group III nitride semiconductor, where the method comprises the steps of: forming a first Group III nitride semiconductor layer on a surface of a temporary substrate; patterning the first Group III nitride semiconductor layer using photolithography and etching processes; forming a second Group III nitride semiconductor layer on the patterned first Group III nitride semiconductor layer; forming a conductive layer on the second Group III nitride semiconductor layer; and releasing the temporary substrate by removing the first Group III nitride semiconductor layer to obtain a composite of the second Group III nitride semiconductor layer and the conductive layer.
    Type: Application
    Filed: March 3, 2009
    Publication date: September 10, 2009
    Applicant: ADVANCED OPTOELECTRONIC TECHNOLOGY INC.
    Inventors: SHIH CHENG HUANG, PO MIN TU, YING CHAO YEH, WEN YU LIN, PENG YI WU, CHIH PENG HSU, SHIH HSIUNG CHAN
  • Publication number: 20090209082
    Abstract: A semiconductor device and a method for fabricating the same may improve the isolation characteristics without deterioration of the junction diode characteristics and an increase in a threshold voltage of a MOS transistor. The device includes a semiconductor substrate; an STI layer in a predetermined portion of the semiconductor substrate, dividing the semiconductor substrate into an active region and a field region; and a field channel stop ion implantation layer in the semiconductor substrate under the STI layer.
    Type: Application
    Filed: March 27, 2009
    Publication date: August 20, 2009
    Inventor: Jin Hyo JUNG
  • Publication number: 20090203223
    Abstract: A substrate mounting table includes an electrostatic chuck for attracting and holding a target substrate and a base for holding the electrostatic chuck thereon. The base includes a protruding portion having a large height; and an outer peripheral surface provided around the protruding portion at a position lower than the protruding portion by a preset height. A thermally sprayed film having a thickness equivalent to a height difference between the protruding portion and the outer peripheral surface is deposited on the outer peripheral surface such that the thermally sprayed film becomes continuous with the protruding portion. The electrostatic chuck is formed by installing an electrode between insulating members, and the electrostatic chuck is fixed to the base by using an adhesive to cover a boundary between a top surface of the protruding portion and a surface of the thermally sprayed film.
    Type: Application
    Filed: February 5, 2009
    Publication date: August 13, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi Suzuki, Kaname Yasuda, Ryo Yamasaki
  • Patent number: 7560360
    Abstract: Methods for enhancing trench capacitance and a trench capacitor so formed are disclosed. In one embodiment a method includes forming a first portion of a trench; depositing a dielectric layer in the first portion; performing a reactive ion etching including a first stage to etch the dielectric layer and form a micro-mask on a bottom surface of the first portion of the trench and a second stage to form a second portion of the trench having a rough sidewall; depositing a node dielectric; and filling the trench with a conductor. The rough sidewall enhances trench capacitance without increasing processing complexity or cost.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, David M. Dobuzinsky, Xi Li
  • Publication number: 20090149005
    Abstract: The invention concerns a method for forming a growth mask on the surface of an initial crystalline substrate, comprising the following steps: formation of a layer of second material on one of the faces of the initial substrate of first material, formation of a pattern in the thickness of the layer of second material so as to expose the zones of said face of the initial substrate, said zones forming growth windows on the initial substrate, the method being characterised in that the formation of the pattern is obtained by ion implantation carried out in the surface layer of the initial substrate underlying the layer of second material, the implantation conditions being such that they cause, directly or after a heat treatment, on said face of the initial substrate, the appearance of exfoliated zones of first material leading to the localised removal of the zones of second material covering the exfoliated zones of first material, thereby locally exposing the initial substrate and forming growth windows on the
    Type: Application
    Filed: November 25, 2005
    Publication date: June 11, 2009
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Aurelie Tauzin, Chrystelle Lagahe-Blanchard
  • Patent number: 7541295
    Abstract: A method of manufacturing a semiconductor device according to one aspect of the present invention comprises: forming a gate insulation film on a semiconductor substrate in which element separation regions are formed; depositing a gate lower layer material on the semiconductor substrate via the gate insulation film; depositing a gate upper layer material, which is composed of a material different from the gate lower layer material, on the gate lower layer material; forming a gate comprising a gate upper layer and a gate lower layer by selectively processing the gate upper layer material and the gate lower layer material; increasing the size of the gate upper layer in a horizontal direction with respect to the semiconductor substrate by carrying out a chemical reaction processing treatment to which the gate upper layer has a higher reaction speed than the gate lower layer; forming an impurity implantation region by implanting ions into the semiconductor substrate using the gate upper layer as a mask; and formin
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: June 2, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akiko Nomachi, Hideaki Harakawa
  • Publication number: 20090081872
    Abstract: The invention provides an etching method having selectivity of a high-K material such as Al2O3 to polysilicon or hard mask. The present invention provides a method for manufacturing a semiconductor device by etching, using a plasma etching apparatus, a sample including an interlayer insulating layer 14 formed of a high-K material such as Al2O3 of a hard mask 11 and a Poly-Si layer 15 in contact with the interlayer insulating layer, wherein the method includes etching the high-K material 14 using BCl3, He and HBr while setting a temperature of a sample stage to normal temperature and applying a time-modulated high bias voltage, and repeating said etching process and a deposition process using SiCl4, BCl3 and He.
    Type: Application
    Filed: January 24, 2008
    Publication date: March 26, 2009
    Inventors: Hitoshi Kobayashi, Masamichi Sakaguchi, Koichi Nakaune, Masunori Ishihara
  • Publication number: 20090061635
    Abstract: A method for forming micro-patterns is disclosed. The method forms a sacrificial layer and a mask layer. A plurality of first taper trenches is formed in the sacrificial layer. A photoresist layer is filled in the plurality of first taper trenches. The photoresist layer is used as a mask and a plurality of second taper trenches is formed in the sacrificial layer. Then, the photoresist layer is stripped to be capable of patterning a layer by the first taper trenches and the second taper trenches in the sacrificial layer. Therefore, a patterned sacrificial layer duplicating the line density by double etching is formed.
    Type: Application
    Filed: April 23, 2008
    Publication date: March 5, 2009
    Inventors: Hsiao-Che WU, Ming-Yen Li, Wen-Li Tsai
  • Publication number: 20090017628
    Abstract: Ultrafine dimensions are accurately and efficiently formed in a target layer using a spacer lithographic technique comprising forming a first mask pattern, forming a cross-linkable layer over the first mask pattern, forming a cross-linked spacer between the first mask pattern and cross-linkable layer, removing the cross-linkable layer, cross-linked spacer from the upper surface of the first mask pattern and the first mask pattern to form a second mask pattern comprising remaining portions of the cross-linked spacer, and etching using the second mask pattern to form an ultrafine pattern in the underlying target layer.
    Type: Application
    Filed: July 10, 2007
    Publication date: January 15, 2009
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Ryoung-han KIM, Yunfei Deng, Thomas I. Wallow, Bruno La Fontaine
  • Publication number: 20090004867
    Abstract: A method of fabricating patterns of a semiconductor device includes the steps of forming first sacrificial layer patterns over a pattern target layer; forming first spacers on sidewalls of the first sacrificial layer patterns; forming a second sacrificial layer pattern over the first sacrificial layer patterns and the first spacers such that at least one of the first spacers is exposed by the second sacrificial layer pattern; forming a dual spacer by forming a second spacer on the exposed first spacer; removing the second sacrificial layer pattern and the first sacrificial layer patterns; and forming a first pattern having a first pitch defined by the first spacers and a second pattern having a second pitch defined by the dual spacer by etching an exposed portion of the pattern target layer using the first spacers and the dual spacer as etching masks.
    Type: Application
    Filed: December 4, 2007
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Hyoung Soon Yune
  • Publication number: 20090004849
    Abstract: In a method for fabricating an inter dielectric layer in semiconductor device, a primary liner HDP oxide layer is formed by supplying a high density plasma (HDP) deposition source to a bit line stack formed on a semiconductor substrate. A high density plasma (HDP) deposition source is supplied to the bit line stack to form a primary liner HDP oxide layer. The primary liner HDP oxide layer is etched to a predetermined depth to form a secondary liner HDP oxide layer. An interlayer dielectric layer is formed to fill the areas defined by the bit line stack where the secondary liner HDP oxide layer is located.
    Type: Application
    Filed: November 27, 2007
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Byung Soo Eun
  • Publication number: 20090004880
    Abstract: A mask is reused to form the same pattern in multiple layers in semiconductor processing. Reference marks that allow alignment accuracy to be checked are also formed with the mask. The manner of using the mask advantageously mitigates interference between reference marks in different layers.
    Type: Application
    Filed: June 30, 2007
    Publication date: January 1, 2009
    Inventors: Calvin K. Li, Yung-Tin Chen, En-Hsing Chen, Paul Wai Kie Poon
  • Publication number: 20080227258
    Abstract: Methods of forming a semiconductor device include forming a mask layer on a semiconductor substrate. The mask layer has vertically and horizontally extending portions. The vertically extending portions have a thickness selected to provide a desired line width to an underlying structure to be formed using the mask layer and a height greater than a height of the horizontally extending portions. The underlying structure is formed using the mask layer.
    Type: Application
    Filed: March 7, 2008
    Publication date: September 18, 2008
    Inventors: Sang-Yong Park, Sung-Hyun Kwon, Jae-Hwang Sim, Keon-Soo Kim, Jae-Kwan Park
  • Publication number: 20080160769
    Abstract: A method for fabricating a semiconductor device includes forming a structure including a sacrificial layer and a hard mask over a substrate, performing a plasma treatment over the structure including the hard mask to form a protective layer over the hard mask, etching the sacrificial layer using the protective layer as an etch barrier, and etching the substrate using the protective layer and the patterned sacrificial layer as an etch barrier to form a recess pattern.
    Type: Application
    Filed: June 27, 2007
    Publication date: July 3, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Tae-Hyoung KIM
  • Patent number: 7354866
    Abstract: A method and apparatus for process integration in manufacture of a gate structure of a field effect transistor are disclosed. The method includes assembling an integrated substrate processing system having a metrology module and a vacuumed processing platform to perform controlled and adaptive plasma processes without exposing the substrate to a non-vacuumed environment.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: April 8, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Ajay Kumar, Ramesh Krishnamurthy
  • Publication number: 20080081479
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first photoresist pattern over an etch target layer, forming a first hard mask layer over a substrate structure, planarizing the first hard mask layer to form a first hard mask pattern and expose the first photoresist pattern, removing the first photoresist pattern, forming a second photoresist pattern enclosing the first hard mask pattern, forming a second hard mask layer over the substrate structure, planarizing the second hard mask layer to form a second hard mask pattern and expose the first hard mask pattern, removing the second photoresist pattern, and etching the etch target layer using the first hard mask pattern and the second hard mask pattern.
    Type: Application
    Filed: June 29, 2007
    Publication date: April 3, 2008
    Inventor: Jung-Woo Park
  • Publication number: 20080081448
    Abstract: A method for fabricating a semiconductor device includes forming an insulation layer, a first electrode layer, a second electrode layer, and a hard mask over a substrate, etching the second electrode layer to form second electrodes with recessed sidewalls, forming a passivation layer over a resultant surface profile provided after forming the second electrodes, performing an etch-back process on the passivation layer, and etching the first electrode layer exposed by the etch-back process to form first electrodes.
    Type: Application
    Filed: June 28, 2007
    Publication date: April 3, 2008
    Inventors: Jung-Seock Lee, Ky-Hyun Han
  • Patent number: 7348198
    Abstract: A liquid crystal display device and a fabricating method thereof for simplifying a process and improving an aperture ratio are disclosed, including forming a first mask pattern group including a gate line, a gate electrode and a common line; forming a second mask pattern group including a semiconductor pattern and a source/drain pattern having a data line, a source electrode and a drain electrode overlapped thereon on the gate insulating film using a second mask; and forming a third mask pattern group including and a pixel electrode making an interface with the protective film in the pixel hole to be connected to the drain electrode, thereby forming a horizontal electric field with the common electrode, using a third mask.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: March 25, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Byung Chul Ahn
  • Publication number: 20070284678
    Abstract: A method of manufacturing a microelectronic device including forming a dielectric layer surrounding a dummy feature located over a substrate, removing the dummy feature to form an opening in the dielectric layer, and forming a metal-silicide layer conforming to the opening by a metal deposition process employing a target which includes metal and silicon. The metal-silicide layer may then be annealed.
    Type: Application
    Filed: August 14, 2007
    Publication date: December 13, 2007
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Tung Lin, Chih-Wei Chang, Chii-Ming Wu, Mei-Yun Wang, Chiang-Ming Chuang, Shau-Lin Shue
  • Publication number: 20070254490
    Abstract: A circuitry sheet comprising an electronic device layer stack containing electronic devices, e.g., thin-film transistors, or portions thereof, formed by removing material from both sides of the device layer stack. The circuitry sheet may be made by an electronic/optoelectronic device manufacturing method that includes the steps of forming the device layer stack on a temporary substrate removing material from both sides of the device layer stack, and then attaching a permanent substrate to the device layer stack. The method uses one or more resist layers that may be activated simultaneously and independently to impart distinct circuit pattern images into each of a plurality of image levels within each resist layer, thereby obviating repetitive sequential exposure, registration and alignment steps.
    Type: Application
    Filed: July 11, 2007
    Publication date: November 1, 2007
    Applicant: VERSATILIS, LLC
    Inventor: Ajaykumar Jain
  • Publication number: 20070254487
    Abstract: A method for fabricating substrate material to include trenches and unreleashed beams with submicron dimensions includes etching a first oxide layer on the substrate to define a first set of voids in the first oxide layer to expose the substrate. A second oxide layer is accreted to the first oxide layer to narrow the first set of voids to become a second set of voids on the substrate. A polysilicon layer is deposited over the second oxide layer, the first oxide layer and the substrate. A third set of voids is etched into the polysilicon layer. Further etching widens the third set of voids to define a fourth set of voids to expose the first oxide layer and the substrate. The first oxide layer and the substrate is deeply etched to define beams and trenches in the substrate.
    Type: Application
    Filed: April 27, 2006
    Publication date: November 1, 2007
    Applicant: Honeywell International Inc.
    Inventor: Jorg Pilchowski
  • Patent number: 7282440
    Abstract: A process is provided for forming vertical contacts in the manufacture of integrated circuits and devices so manufactured. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The above process may be repeated during the formation of multilevel metal integrated circuits.
    Type: Grant
    Filed: May 1, 2002
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Charles H. Dennison, Trung T. Doan
  • Publication number: 20070238230
    Abstract: A method for forming a pattern is provided. First, a substrate is provided. Then, a discontinuous film is formed on the substrate so as to reduce the stress of the film. After that, the discontinuous film is patterned to form a pattern. Besides, a method for manufacturing a thin film transistor (TFT) is also provided. First, a substrate is provided. Then, a poly silicon island is formed on the substrate. After that, a gate insulating layer is formed to cover the poly silicon island. Then, a gate is formed on the gate insulating layer. After that, a source/drain is formed in the poly silicon island below one side and the other side of the gate respectively, and a channel layer is formed between the source/drain. At least one of the poly silicon island and the gate is formed according to the above mentioned method for forming the pattern.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 11, 2007
    Applicant: CHUNGHWA PICTURE TUBES, LTD.
    Inventor: Hsi-Ming Chang
  • Publication number: 20070212892
    Abstract: A first hardmask layer is provided over a substrate, and a second hardmask layer is provided over the first hardmask layer. The second hardmask layer is patterned to form a second hardmask structure having sidewalls. A sacrificial layer of a sacrificial material is conformally deposited such that the deposited sacrificial layer has substantially horizontal and vertical portions. The horizontal portions of the sacrificial layer are removed to form lines of the sacrificial material adjacent to the sidewalls of the second hardmask lines. The sacrificial layer is at least partially removed to structure the sacrificial material and the remaining sacrificial layer is used to structure the first hardmask. The second hardmask structures is removed to uncover portions of the first hardmask. Uncovered portions of the substrate are etched, thereby forming structures in the substrate below the first hardmask.
    Type: Application
    Filed: October 27, 2006
    Publication date: September 13, 2007
    Inventors: Dirk Caspary, Stefano Parascandola
  • Publication number: 20070105393
    Abstract: A method for forming a pattern is provided. First, a substrate is provided. Then, a discontinuous film is formed on the substrate so as to reduce the stress of the film. After that, the discontinuous film is patterned to form a pattern. Besides, a method for manufacturing a thin film transistor (TFT) is also provided. First, a substrate is provided. Then, a poly silicon island is formed on the substrate. After that, a gate insulating layer is formed to cover the poly silicon island. Then, a gate is formed on the gate insulating layer. After that, a source/drain is formed in the poly silicon island below one side and the other side of the gate respectively, and a channel layer is formed between the source/drain. At least one of the poly silicon island and the gate is formed according to the above mentioned method for forming the pattern.
    Type: Application
    Filed: November 4, 2005
    Publication date: May 10, 2007
    Inventor: Hsi-Ming Cheng
  • Patent number: 7189619
    Abstract: Vertically insulated active semiconductor regions having different thicknesses in an SOI wafer, which has an insulating layer, is produced. On the wafer, first active semiconductor regions having a first thickness are arranged in a layer of active semiconductor material. The second active semiconductor regions having a relatively smaller thickness are produced by epitaxial growth proceeding from at least one seed opening in a trench structure. The second semiconductor regions are substantially completely dielectrically insulated, laterally and vertically, from the first semiconductor regions by oxide layers. The width of the seed opening can be defined by lithography.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: March 13, 2007
    Assignee: Atmel Germany GmbH
    Inventors: Franz Dietz, Volker Dudek, Michael Graf
  • Patent number: 6946339
    Abstract: In a method for creating a stepped structure on a substrate, which at least includes a first portion with a first thickness and a second portion with a second thickness, at first a layer sequence of a first oxide layer, a first nitride layer, and a second oxide layer is applied onto the substrate. Then a portion of the second oxide layer and a portion of the first nitride layer are removed to expose a portion of the first oxide layer. Then a part of the first nitride layer is removed to establish the first region of the stepped structure. Then the thickness of the first oxide layer is changed at least in the established first region to establish the first thickness of this region. Subsequently, a further part of the first nitride layer is removed to establish a second region of the stepped structure.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: September 20, 2005
    Assignee: Infineon Technologies AG
    Inventor: Christian Herzum