Layers Comprising Fluoro Hydrocarbon Compounds, E.g., Polytetrafluoroethylene (epo) Patents (Class 257/E21.264)
  • Patent number: 11935942
    Abstract: The present disclosure relates to a semiconductor device that includes a first terminal formed on a fin region and having a first spacer. The semiconductor device further includes a second terminal having a hard mask and a second spacer opposing the first spacer. The hard mask and the second spacer are formed using different materials. The semiconductor device also includes a seal layer formed between first and second spacers of the first and second terminals, respectively. The semiconductor device further includes an air gap surrounded by the seal layer, the fin region, and the first and second spacers.
    Type: Grant
    Filed: January 24, 2022
    Date of Patent: March 19, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Yi-Lun Chen
  • Patent number: 11929329
    Abstract: A semiconductor device including a substrate, a low-k dielectric layer, a cap layer, and a conductive layer is provided. The low-k dielectric layer is disposed over the substrate. The cap layer is disposed on the low-k dielectric layer, wherein a carbon atom content of the cap layer is greater than a carbon atom content of the low-k dielectric layer. The conductive layer is disposed in the cap layer and the low-k dielectric layer.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: March 12, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Cheng Chou, Chung-Chi Ko, Tze-Liang Lee, Ming-Tsung Lee
  • Patent number: 11854884
    Abstract: A method of forming fully aligned top vias is provided. The method includes forming a fill layer on a conductive line, wherein the fill layer is adjacent to one or more vias. The method further includes forming a spacer layer selectively on the exposed surface of the fill layer, wherein the top surface of the one or more vias is exposed after forming the spacer layer. The method further includes depositing an etch-stop layer on the exposed surfaces of the spacer layer and the one or more vias, and forming a cover layer on the etch-stop layer.
    Type: Grant
    Filed: December 15, 2021
    Date of Patent: December 26, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nicholas Anthony Lanzillo, Koichi Motoyama, Somnath Ghosh, Christopher J. Penny, Robert Robison, Lawrence A. Clevenger
  • Patent number: 11791154
    Abstract: The present disclosure is generally related to semiconductor devices, and more particularly to a dielectric material formed in semiconductor devices. The present disclosure provides methods for forming a dielectric material layer by a cyclic spin-on coating process. In an embodiment, a method of forming a dielectric material on a substrate includes spin-coating a first portion of a dielectric material on a substrate, curing the first portion of the dielectric material on the substrate, spin-coating a second portion of the dielectric material on the substrate, and thermal annealing the dielectric material to form an annealed dielectric material on the substrate.
    Type: Grant
    Filed: July 21, 2022
    Date of Patent: October 17, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Je-Ming Kuo, Yen-Chun Huang, Chih-Tang Peng, Tien-I Bao
  • Patent number: 11600740
    Abstract: A method of forming an opening in an insulating layer covering a semiconductor region including germanium, successively including: the forming of a first masking layer on the insulating layer; the forming on the first masking layer of a second masking layer including an opening; the etching of an opening in the first masking layer, in line with the opening of the second masking layer; the removal of the second masking layer by oxygen-based etching; and the forming of the opening of said insulating layer in line with the opening of the first masking layer, by fluorine-based etching.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: March 7, 2023
    Assignee: Commissariat à l'Énergie Atomique et aux Énergies Alternatives
    Inventors: Willy Ludurczak, Abdelkader Aliane, Jean-Michel Hartmann, Zouhir Mehrez, Philippe Rodriguez
  • Patent number: 10932371
    Abstract: Disclosed herein is a bottom-up electrolytic via plating method wherein a first carrier substrate and a second substrate having at least one through-via are temporarily bonded together. The method includes applying a seed layer on a surface of the first substrate, forming a surface modification layer on the seed layer or the second substrate, bonding the second substrate to the first substrate with the surface modification layer to create an assembly wherein the seed layer and the surface modification layer are disposed between the first and second substrates, applying conductive material to the through-via, removing the second substrate having the through-via containing conductive material from the assembly.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: February 23, 2021
    Assignee: Corning Incorporated
    Inventors: Robert Alan Bellman, John Tyler Keech, Ekaterina Aleksandrovna Kuksenkova, Scott Christopher Pollard
  • Patent number: 10538056
    Abstract: An assembly structure is provided. A first material layer is disposed on a substrate. A hydrophobic layer is chemically attached to the first material layer. A patterned second material layer is disposed on the substrate, without the hydrophobic or slightly chemically attached with hydrophobic molecules and surrounded by the first material layer. A close-loop sealant wall is directly disposed on the patterned second material layer. A cover layer is directly disposed on the close-loop sealant wall to entirely cover the close-loop sealant wall.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: January 21, 2020
    Assignee: Himax Display, Inc.
    Inventor: Po-Hung Pan
  • Patent number: 10304726
    Abstract: The reliability of wirings, each of which includes a main conductive film containing copper as a primary component, is improved. On an insulating film including the upper surface of a wiring serving as a lower layer wiring, an insulating film formed of a silicon carbonitride film having excellent barrier properties to copper is formed; on the insulating film, an insulating film formed of a silicon carbide film having excellent adhesiveness to a low dielectric constant material film is formed; on the insulating film, an insulating film formed of a low dielectric constant material as an interlayer insulating film is formed; and thereafter a wiring as an upper layer wiring is formed.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: May 28, 2019
    Assignee: Renesas Electronics Corporation
    Inventors: Junji Noguchi, Takayuki Oshima, Noriko Miura, Kensuke Ishikawa, Tomio Iwasaki, Kiyomi Katsuyama, Tatsuyuki Saito, Tsuyoshi Tamaru, Hizuru Yamaguchi
  • Patent number: 10121693
    Abstract: The reliability of wirings, each of which includes a main conductive film containing copper as a primary component, is improved. On an insulating film including the upper surface of a wiring serving as a lower layer wiring, an insulating film formed of a silicon carbonitride film having excellent barrier properties to copper is formed; on the insulating film, an insulating film formed of a silicon carbide film having excellent adhesiveness to a low dielectric constant material film is formed; on the insulating film, an insulating film formed of a low dielectric constant material as an interlayer insulating film is formed; and thereafter a wiring as an upper layer wiring is formed.
    Type: Grant
    Filed: October 9, 2017
    Date of Patent: November 6, 2018
    Assignee: Renesas Electronics Corporation
    Inventors: Junji Noguchi, Takayuki Oshima, Noriko Miura, Kensuke Ishikawa, Tomio Iwasaki, Kiyomi Katsuyama, Tatsuyuki Saito, Tsuyoshi Tamaru, Hizuru Yamaguchi
  • Patent number: 9761836
    Abstract: Methods for forming an OLED device are described. An encapsulation structure having organic buffer layer sandwiched between barrier layers is deposited over an OLED structure. The buffer layer is formed with a fluorine-containing plasma. The second barrier layer is then deposited over the buffer layer. Additionally, to ensure good adhesion, a buffer adhesion layer is formed between the buffer layer and the first barrier layer. Finally, to ensure good transmittance, a stress reduction layer is deposited between the buffer layer and the second barrier layer.
    Type: Grant
    Filed: April 8, 2016
    Date of Patent: September 12, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Jrjyan Jerry Chen
  • Patent number: 9387508
    Abstract: Methods and systems for coating articles are described herein. The methods and systems described herein include, but are not limited to, steps for actively or passively controlling the temperature during the coating process, steps for providing intimate contact between the substrate and the support holding the substrate in order to maximize energy transfer, and/or steps for preparing gradient coatings. Methods for depositing high molecular weight polymeric coatings, end-capped polymer coatings, coatings covalently bonded to the substrate or one another, metallic coatings, and/or multilayer coatings are also disclosed. Deposition of coatings can be accelerated and/or improved by applying an electrical potential and/or through the use of inert gases.
    Type: Grant
    Filed: November 20, 2014
    Date of Patent: July 12, 2016
    Assignee: GVD Corporation
    Inventors: Erik S. Handy, Aleksander J. White, W. Shannan O'Shaughnessy, Hilton G. Pryce Lewis, Neeta P. Bansal, Karen K. Gleason
  • Patent number: 9331311
    Abstract: Methods for forming an OLED device are described. An encapsulation structure having organic buffer layer sandwiched between barrier layers is deposited over an OLED structure. The buffer layer is formed with a fluorine-containing plasma. The second barrier layer is then deposited over the buffer layer. Additionally, to ensure good adhesion, a buffer adhesion layer is formed between the buffer layer and the first barrier layer. Finally, to ensure good transmittance, a stress reduction layer is deposited between the buffer layer and the second barrier layer.
    Type: Grant
    Filed: February 6, 2014
    Date of Patent: May 3, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Jrjyan Jerry Chen
  • Patent number: 9034736
    Abstract: The present invention provides a method of patterning an electronic or photonic material on a substrate comprising: forming a film of said electronic or photonic material on said substrate; and using a fluoropolymer to protect regions of said electronic or photonic material during a patterning process.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: May 19, 2015
    Assignee: Cambridge Enterprise Limited
    Inventors: Henning Sirringhaus, Jui-Fen Chang, Michael Gwinner
  • Patent number: 9029228
    Abstract: The invention generally related to a method for preparing a layer of graphene directly on the surface of a substrate, such as a semiconductor substrate. The layer of graphene may be formed in direct contact with the surface of the substrate, or an intervening layer of a material may be formed between the substrate surface and the graphene layer.
    Type: Grant
    Filed: May 9, 2013
    Date of Patent: May 12, 2015
    Assignees: SunEdision Semiconductor Limited (UEN201334164H), Kansas State University Research Foundation
    Inventors: Michael R. Seacrist, Vikas Berry, Phong Tuan Nguyen
  • Patent number: 8999862
    Abstract: Methods of fabricating nano-scale structures are provided. A method includes forming a first hard mask pattern corresponding to first openings in a dense region, forming first guide elements on the first hard mask pattern aligned with the first openings, and forming second hard mask patterns in a sparse region to provide isolated patterns. A blocking layer is formed in the sparse region to cover the second hard mask patterns. A first domain and second domains are formed in the dense region using a phase separation of a block co-polymer layer. Related nano-scale structures are also provided.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: April 7, 2015
    Assignee: SK Hynix Inc.
    Inventors: Keun Do Ban, Cheol Kyu Bok, Myoung Soo Kim, Jung Hyung Lee, Hyun Kyung Shim, Chang Il Oh
  • Patent number: 8884310
    Abstract: The invention generally related to a method for preparing a layer of graphene directly on the surface of a semiconductor substrate. The method includes forming a carbon-containing layer on a front surface of a semiconductor substrate and depositing a metal film on the carbon layer. A thermal cycle degrades the carbon-containing layer, which forms graphene directly upon the semiconductor substrate upon cooling. In some embodiments, the carbon source is a carbon-containing gas, and the thermal cycle causes diffusion of carbon atoms into the metal film, which, upon cooling, segregate and precipitate into a layer of graphene directly on the semiconductor substrate.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: November 11, 2014
    Assignees: SunEdison Semiconductor Limited (UEN201334164H), KSU Research Foundation
    Inventors: Michael R. Seacrist, Vikas Berry
  • Patent number: 8872194
    Abstract: An illumination device is disclosed. The illumination device includes a light source a pre-dip material that at least partially encapsulates the light source. The pre-dip material may include one or both of thermally-conductive particles and a cyclo-aliphatic composition. The pre-dip material may further include a resin and a hardener for the resin. Methods of manufacturing an illumination device are also disclosed.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: October 28, 2014
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventors: Kum Soon Wong, Yean Chon Yaw, Kit Lai Wong
  • Patent number: 8835247
    Abstract: A sensor array for detecting particles, the sensor array comprising a substrate having a plurality of holes, a plurality of electronic sensor chips each having a sensor active region being sensitive to the presence of particles to be detected, and an electric contacting structure adapted for electrically contacting the plurality of electronic sensor chips, wherein the plurality of electronic sensor chips and/or the electric contacting structure are connected to the substrate in such a manner that the plurality of holes in combination with the plurality of electronic sensor chips and/or the electric contacting structure form a plurality of wells with integrated particle sensors.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: September 16, 2014
    Assignee: NXP, B.V.
    Inventors: Michel De Langen, Ger Reuvers, Frans Meeuwsen
  • Patent number: 8809916
    Abstract: A pH sensor may include a reference electrode including a p-channel field effect transistor (FET) whose gate includes a diamond surface having a hydrogen ion insensitive terminal, and a working electrode.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: August 19, 2014
    Assignee: Yokogawa Electric Corporation
    Inventors: Yukihiro Shintani, Kazuma Takenaka
  • Patent number: 8796131
    Abstract: An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B2F4 or other alternatives to BF3. Various arc chamber thermal management arrangements are described, as well as modification of plasma properties, specific flow arrangements, cleaning processes, power management, eqillibrium shifting, optimization of extraction optics, detection of deposits in flow passages, and source life optimization, to achieve efficient operation of the ion implantation system.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: August 5, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Edward E. Jones, Sharad N. Yedave, Ying Tang, Barry Lewis Chambers, Robert Kaim, Joseph D. Sweeney, Oleg Byl, Peng Zou
  • Patent number: 8551889
    Abstract: In a manufacture method for a photovoltaic module, a plurality of strips of resin adhesive film having a desired width and unwound from a single feeding reel is simultaneously pasted on a solar cell. In particular, the manufacture method is implemented by performing the steps of: unwinding a resin adhesive film sheet from a reel on which the resin adhesive film sheet is wound; splitting the unwound resin adhesive film into two or more film strips in correspondence to lengths of wiring material to bond; pasting the strips of resin adhesive film on an electrode of the solar cell; and placing the individual lengths of wiring material on the electrode of the solar cell having the plural strips of resin adhesive film pasted thereon and thermally setting the resin adhesive film by heating so as to fix together the electrode of the solar cell and the wiring material.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: October 8, 2013
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yousuke Ishii, Shingo Okamoto
  • Patent number: 8395144
    Abstract: Provided are a novel anthracene derivative and an organic light-emitting device using the same, and more particularly, an anthracene derivative having a core (e.g., an indenoanthracene core) where an anthracene moiety with excellent device characteristics is fused with a fluorene moiety or the like with excellent fluorescent properties, wherein an aryl group is introduced at the core, and an organic light-emitting device using the anthracene derivative, which is enhanced in efficiency, operating voltage, lifetime, etc.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: March 12, 2013
    Assignee: Doosan Corporation
    Inventors: Eunjung Lee, Jung-Sub Lee, Tae-Hyung Kim, Kyoung-Soo Kim
  • Patent number: 8222632
    Abstract: A luminescent or charge-transporting polymer which has in the backbone optionally substituted fluorenediyl groups as repeating units and further has a functional side chain comprising at least one functional group selected from the group consisting of a hole-injection/transporting group containing one or more heteroatoms other than nitrogen or two or more nitrogen atoms, an electron-injection/transporting group containing one or more heteroatoms other than nitrogen or two or more nitrogen atoms, and a luminescent group comprising a fused aromatic hydrocarbon or heterocycle, characterized in that the functional group is directly bonded to the saturated carbon atom of any of the fluorenediyl groups or is bonded to any of the fluorenediyl groups through —Rk—X—(Rk represents alkylene and X represents a direct bond or connecting group) at the X.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: July 17, 2012
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Tomoya Nakatani, Takeshi Yamada
  • Patent number: 8212345
    Abstract: A backgrinding machine 10 of a semiconductor wafer W includes: a table 13 set on the working plane of a mount 11; a multiple number of holding jigs 20 arranged via check tables 15 on table 13; a grinding machine 30 for performing a grinding process of the rear side of semiconductor wafer W held by holding jig 20; and a washing device 40 for ground semiconductor wafers W. Each holding jig 20 is constructed of a concave 22 depressed on the surface of a base plate 21, a multiple number of supporting projections 23 projectively arrayed on the bottom surface of concave 22, a deformable contact film 24, covering the concave 22, being supported by the multiple supporting projections 23, for detachably holding semiconductor wafer W in close contact with it; and an exhaust path 25 for conducting air from the concave 22 covered by contact film 24 to the outside.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: July 3, 2012
    Assignees: Shin-Etsu Polymer Co., Ltd., Lintec Corporation
    Inventors: Kiyofumi Tanaka, Satoshi Odashima, Noriyoshi Hosono, Hironobu Fujimoto, Takeshi Segawa
  • Patent number: 8187982
    Abstract: The invention permits a plurality of strips of resin adhesive film having a desired width and unwound from a single feeding reel to be simultaneously pasted on a solar cell. For this purpose, the invention comprises the steps of: unwinding a resin adhesive film sheet from a reel on which the resin adhesive film sheet is wound; splitting the unwound resin adhesive film into two or more film strips in correspondence to lengths of wiring material to bond; pasting the strips of resin adhesive film on an electrode of the solar cell; and placing the individual lengths of wiring material on the electrode of the solar cell having the plural strips of resin adhesive film pasted thereon and thermally setting the resin adhesive film by heating so as to fix together the electrode of the solar cell and the wiring material.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: May 29, 2012
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yousuke Ishii, Shingo Okamoto
  • Patent number: 8168742
    Abstract: To provide a crosslinkable fluorinated aromatic prepolymer which is capable of forming a cured product having a low relative permittivity, high heat resistance, low birefringence and high flexibility, and its uses.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: May 1, 2012
    Assignee: Asahi Glass Company, Limited
    Inventors: Shunsuke Yokotsuka, Masahiro Ito, Kaori Tsuruoka
  • Patent number: 8105961
    Abstract: A method of creating a sensor that may include applying a first conductive material on a first portion of a substrate to form a reference electrode and depositing a first mask over the substrate, the first mask having an opening that exposes the reference electrode and a second portion of the substrate. The method may also include depositing a second conductive material into the opening in the first mask, the second conductive material being in direct contact with the reference electrode and depositing a second mask over the second conductive material, the second mask having an opening over the second portion of the substrate, the opening exposing a portion of the second conductive material which forms a working surface to receive a fluid of interest.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: January 31, 2012
    Assignee: Edwards Lifesciences Corporation
    Inventor: Kenneth M. Curry
  • Patent number: 8101530
    Abstract: A method for fabricating an integrated circuit device is disclosed. The method is a lithography patterning method that can include providing a substrate; forming a protective layer over the substrate; forming a conductive layer over the protective layer; forming a resist layer over the conductive layer; and exposing and developing the resist layer.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: January 24, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: I-Hsiung Huang, Chin-Hsiang Lin, Heng-Jen Lee, Heng-Hsin Liu
  • Patent number: 8053376
    Abstract: In a method of making a polymer structure on a substrate a layer of a first polymer, having a horizontal top surface, is applied to a surface of the substrate. An area of the top surface of the polymer is manipulated to create an uneven feature that is plasma etched to remove a first portion from the layer of the first polymer thereby leaving the polymer structure extending therefrom. A light emitting structure includes a conductive substrate from which an elongated nanostructure of a first polymer extends. A second polymer coating is disposed about the nanostructure and includes a second polymer, which includes a material such that a band gap exists between the second polymer coating and the elongated nanostructure. A conductive material coats the second polymer coating. The light emitting structure emits light when a voltage is applied between the conductive substrate and the conductive coating.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: November 8, 2011
    Assignee: Georgia Tech Research Corporation
    Inventors: Zhong L. Wang, Xudong Wang, Jenny R. Morber, Jin Liu
  • Patent number: 8030139
    Abstract: A method of producing a thin film transistor includes a gate electrode formation step that forms a gate electrode on a substrate, a gate insulating layer formation step that forms a gate insulating layer on the substrate in such a manner as to cover the gate electrode formed in the gate electrode formation step, a source/drain electrodes formation step that forms a source electrode and a drain electrode on the gate insulating layer, and a semiconductor layer formation step that applies an aqueous solution for semiconductor layer formation which is an aqueous solution comprising at least a single wall carbon nanotube and a surfactant between the source electrode and the drain electrode formed in the source/drain electrodes formation step by a coating process to form a semiconductor layer comprising the single wall carbon nanotube.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: October 4, 2011
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventors: Takeshi Asano, Taishi Takenobu, Masashi Shiraishi
  • Patent number: 8021975
    Abstract: A plasma processing method for forming a film on a substrate using a gas processed by a plasma. The plasma processing method for forming a film includes the steps of forming a CF film on the substrate by using a CaFb gas (here, a is a counting number, and b is a counting number which satisfies an equation of “b=2×a?2”), processing the CF film with the gas processed by the plasma, and forming an insulating film on the CF film processed by using an insulating material processed with the plasma.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: September 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kotaro Miyatani, Kohei Kawamura, Toshihisa Nozawa, Takaaki Matsuoka
  • Patent number: 7981812
    Abstract: Methods for forming an ultra thin structure using a method that includes multiple cycles of polymer deposition of photoresist (PDP) process and etching process. The embodiments described herein may be advantageously utilized to fabricate a submicron structure on a substrate having a critical dimension less than 55 nm and beyond. In one embodiment, a method of forming a submicron structure on a substrate may include providing a substrate having a patterned photoresist layer disposed on a film stack into an etch chamber, wherein the film stack includes at least a hardmask layer disposed on a dielectric layer, performing a polymer deposition process to deposit a polymer layer on the pattered photoresist layer, thus reducing a critical dimension of an opening in the patterned photoresist layer, and etching the underlying hardmask layer through the opening having the reduced dimension.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: July 19, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kang-Lie Chiang, Chia-Ling Kao
  • Patent number: 7981810
    Abstract: The present invention addresses this need by providing a method for forming transparent PECVD deposited ashable hardmasks (AHMs) that have high plasma etch selectivity to underlying layers. Methods of the invention involve depositing the AHM using dilute hydrocarbon precursor gas flows and/or low process temperatures. The AHMs produced are transparent (having absorption coefficients of less than 0.1 in certain embodiments). The AHMs also have the property of high selectivity of the hard mask film to the underlying layers for successful integration of the film, and are suitable for use with 193 nm generation and below lithography schemes wherein high selectivity of the hard mask to the underlying layers is required. The lower temperature process also allows reduction of the overall thermal budget for a wafer.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: July 19, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Pramod Subramonium, Zhiyuan Fang, Jon Henri
  • Patent number: 7972885
    Abstract: This invention relates to imaging device and its related transferring technologies to independent substrate able to attain significant broadband capability covering the wavelengths from ultra-violet (UV) to long-Infrared. More particularly, this invention is related to the broadband image sensor (along with its manufacturing technologies), which can detect the light wavelengths ranges from as low as UV to the wavelengths as high as 20 ?m covering the most of the wavelengths using of the single monolithic image sensor on the single wafer. This invention is also related to the integrated circuit and the bonding technologies of the image sensor to standard integrated circuit for multicolor imaging, sensing, and advanced communication. Our innovative approach utilizes surface structure having more than micro-nano-scaled 3-dimensional (3-D) blocks which can provide broad spectral response.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: July 5, 2011
    Assignee: Banpil Photonics, Inc.
    Inventors: Achyut Kumar Dutta, Robert Allen Olah
  • Patent number: 7910475
    Abstract: A method for forming a semiconductor device is provided. In one embodiment, the method includes providing a semiconductor substrate with a surface region. The surface region includes one or more layers overlying the semiconductor substrate. In addition, the method includes depositing a dielectric layer overlying the surface region. The dielectric layer is formed by a CVD process. Furthermore, the method includes forming a diffusion barrier layer overlying the dielectric layer. In addition, the method includes forming a conductive layer overlying the diffusion barrier layer. Additionally, the method includes reducing the thickness of the conductive layer using a chemical-mechanical polishing process. The CVD process utilizes fluorine as a reactant to form the dielectric layer. In addition, the dielectric layer is associated with a dielectric constant equal or less than 3.3.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: March 22, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Patent number: 7902642
    Abstract: A resin composition for sealing a light-emitting device of the present invention includes a silsesquioxane resin including two or more oxetanyl groups, an aliphatic hydrocarbon including one or more epoxy groups and a cationic polymerization initiator. Furthermore, a lamp of the present invention includes a package equipped with a cup-shaped sealing member, an electrode exposed in the bottom portion of the sealing member, and a light-emitting device arranged on the bottom portion and electrically connected with the electrode, wherein the light-emitting device is sealed with the above-described resin composition for sealing a light-emitting device filled in the sealing member.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: March 8, 2011
    Assignee: Showa Denko K.K.
    Inventors: Tomoyuki Takei, Yuko Sakata
  • Patent number: 7902641
    Abstract: The present invention relates to a semiconductor device. The semiconductor device includes a fluorocarbon film formed on a substrate and a film containing metal formed on the fluorocarbon film, wherein the content amount of fluorine atom on the fluorocarbon film, which contacts the film containing metal, is in a predetermined range.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: March 8, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kikuchi
  • Patent number: 7875501
    Abstract: A backgrinding machine 10 of a semiconductor wafer W includes: a table 13 set on the working plane of a mount 11; a multiple number of holding jigs 20 arranged via check tables 15 on table 13; a grinding machine 30 for performing a grinding process of the rear side of semiconductor wafer W held by holding jig 20; and a washing device 40 for ground semiconductor wafers W. Each holding jig 20 is constructed of a concave 22 depressed on the surface of a base plate 21, a multiple number of supporting projections 23 projectively arrayed on the bottom surface of concave 22, a deformable contact film 24, covering the concave 22, being supported by the multiple supporting projections 23, for detachably holding semiconductor wafer W in close contact with it; and an exhaust path 25 for conducting air from the concave 22 covered by contact film 24 to the outside.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: January 25, 2011
    Assignees: Shin-Etsu Polymer Co., Ltd., Lintec Corporation
    Inventors: Kiyofumi Tanaka, Satoshi Odashima, Noriyoshi Hosono, Hironobu Fujimoto, Takeshi Segawa
  • Patent number: 7795669
    Abstract: In accordance with an embodiment, a FinFET device includes: one or more fins, a dummy fin, a gate line, a gate contact landing pad, and a gate contact element. Each of the fins extends in a first direction above a substrate. The dummy fin extends in parallel with the fins in the first direction above the substrate. The gate line extends in a second direction above the substrate, and partially wraps around the fins. The gate contact landing pad is positioned adjacent to or above the dummy fin and electrically coupled to the gate line. The gate contact element is electrically coupled to the gate contact landing pad and is positioned to the top surface thereof.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: September 14, 2010
    Assignee: Infineon Technologies AG
    Inventors: Georg Georgakos, Bernhard Dobler
  • Patent number: 7759677
    Abstract: Provided are a molecular electronic device and a method of fabricating the molecular electronic device. The molecular electronic device includes a substrate, an organic dielectric thin film formed over the substrate, a molecular active layer formed on the organic dielectric thin film and having a charge trap site, and an electrode formed on the molecular active layer. The organic dielectric thin film may be immobilized on the electrode or a Si layer by a self-assembled method. The organic dielectric thin film may include first and second molecular layers bound together through hydrogen bonds. An organic compound may be self-assembled over the substrate to form the organic dielectric thin film. The organic compound may include an M?-R-T structure, where M?, R and T represent a thiol or silane derivative, a saturated or unsaturated C1 to C20 hydrocarbon group which is substituted or unsubstituted with fluorine (F), and an amino(—NH2) or carboxyl (—COOH) group, respectively.
    Type: Grant
    Filed: June 11, 2007
    Date of Patent: July 20, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Hyoyoung Lee, Gyeong Sook Bang, Jonghyurk Park, Junghyun Lee, Nak Jin Choi, Ja Ryong Koo
  • Patent number: 7723133
    Abstract: A method for forming a pattern, comprises: forming a bank film on a substrate; performing a lyophobic treatment on a surface of the bank film; patterning the bank film on which the lyophobic treatment has been performed to form a bank; performing a surface modification treatment in which a hydroxyl group on a surface of a pattern forming region partitioned by the bank is alkylated; disposing a functional liquid in the pattern forming region; and firing the functional liquid to form a pattern.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: May 25, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Katsuyuki Moriya, Toshimitsu Hirai
  • Patent number: 7648922
    Abstract: The major objective is to provide a fluorocarbon film wherein fine voids are formed by a step (SA1) for introducing a mixed gas containing a first carbon fluoride gas and a second carbon fluoride gas on a substrate placed inside a chamber, and depositing a fluorocarbon film on the substrate; and a step (SA2) for forming voids in the fluorocarbon film by selectively removing volatile components contained in the fluorocarbon film are included and especially in the step (SA2) for forming voids, it is preferable to include a step for cleaning the fluorocarbon film with a supercritical fluid.
    Type: Grant
    Filed: November 9, 2004
    Date of Patent: January 19, 2010
    Assignees: Kyoto University, Zeon Corporation
    Inventors: Tatsuru Shirafuji, Kunihide Tachibana
  • Publication number: 20090215255
    Abstract: Some embodiments include methods of forming dispersions of nanoparticles. The nanoparticles are incorporated into first coordination complexes in which the nanoparticles are coordinated to hydrophobic ligands, and the first coordination complexes are dispersed within a non-polar solvent. While the first coordination complexes are within the non-polar solvent, the ligands are reacted with one or more reactants to convert the first coordination complexes into second coordination complexes that contain hydrophilic ligands. The second coordination complexes are then extracted from the non-polar solvent into water, to form a mixture of the second coordination complexes and the water. In some embodiments, the mixture may be dispersed across a semiconductor substrate to form a uniform distribution of the nanoparticles across the substrate. In some embodiments, the nanoparticles may then be incorporated into flash memory devices as charge-trapping centers.
    Type: Application
    Filed: February 21, 2008
    Publication date: August 27, 2009
    Inventor: Dan Millward
  • Patent number: 7485492
    Abstract: A process for manufacturing a non-volatile memory structure, in particular of a cross-point type provided with an array of memory cells, including forming bottom electrodes on a substrate; forming areas of active material on the bottom electrodes; and forming top electrodes on the areas of active material. The memory cells are defined at the intersection of the bottom electrode with the top electrode. At least one from among the steps of forming bottom electrodes, forming areas of active material, and forming top electrodes includes using soft-lithography techniques, chosen from amongst “microtransfer molding”, “micromolding in capillary”, and “microcontact printing”.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: February 3, 2009
    Assignee: STMicroelectronics S.r.l.
    Inventors: Raffaele Vecchione, Roberta Cuozzo, Anna Morra, Teresa Napolitano
  • Patent number: 7445953
    Abstract: The invention relates to low temperature curable spin-on glass materials which are useful for electronic applications, such as optical devices. A substantially crack-free and substantially void-free silicon polymer film is produced by (a) preparing a composition comprising at least one silicon containing pre-polymer, a catalyst, and optionally water; (b) coating a substrate with the composition to form a film on the substrate, (c) crosslinking the composition by heating to produce a substantially crack-free and substantially void-free silicon polymer film, having a a transparency to light in the range of about 400 nm to about 800 nm of about 95% or more.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: November 4, 2008
    Assignee: Honeywell International Inc.
    Inventors: Victor Lu, Lei Jin, Arlene J. Suedmeyer, Paul G. Apen, Peter Alfred Smith, JingHong Chen
  • Patent number: 7427559
    Abstract: According to one aspect of the invention, a method of constructing a memory array is provided. An insulating layer is formed on a semiconductor wafer. A first metal stack is then formed on the insulating layer and etched to form first metal lines. A polymeric layer is formed over the first metal lines and the insulating layer. A puddle of smoothing solvent is then allowed to stand on the wafer. The smoothing solvent is then removed. After the smoothing solvent is removed, the polymeric layer has a reduced surface roughness. A second metal stack is then formed on the polymeric layer and etched to form second metal lines.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: September 23, 2008
    Assignee: Intel Corporation
    Inventors: Michael J. Leeson, Ebrahim Andideh
  • Patent number: 7326653
    Abstract: A method for preparing an organic electronic or optoelectronic device is described. The method comprises depositing a layer of fluorinated polymer on a substrate, patterning the layer of fluorinated polymer to form a relief pattern and depositing from solution a layer of organic semiconductive or conductive material on the substrate. The fluorinated polymer may be a fluorinated photoresist and may be treated by exposure to ultraviolet light and ozone prior to the deposition of the layer of organic semiconductive or conductive material. The method has particular application in the preparation of organic light emitting devices by ink-jet printing.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: February 5, 2008
    Assignee: Cambridge Display Technology Limited
    Inventors: Alec Gunner, Martin Cacheiro
  • Patent number: 7288488
    Abstract: A two-step process is disclosed for stripping photoresist material from a substrate, wherein the substrate includes a low k dielectric material underlying the photoresist material and a polymer film overlying both the photoresist material and the low k dielectric material. The first step of the two-step process uses an oxygen plasma to remove the polymer film. The second step of the two-step process uses an ammonia plasma to remove the photoresist material, wherein the second step commences after completion of the first step. Each step of the two-step photoresist stripping process is respectively defined by particular values for process parameters including chemistry, temperature, pressure, gas flow rate, radio frequency power and frequency, and duration.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: October 30, 2007
    Assignee: Lam Research Corporation
    Inventors: Helen Zhu, Reza Sadjadi
  • Patent number: 7253110
    Abstract: A method and apparatus for forming a barrier metal layer in semiconductor devices are disclosed. A disclosed method for forming a barrier metal layer in a semiconductor device forms an interlayer insulating layer on a front face of a semiconductor substrate having a contact area and patterns the interlayer insulating layer to open the contact area. The disclosed method further places the semiconductor substrate in a chamber, injects reactant gas and precursor into the chamber, transforms the gas into plasma gas and causes the plasma gas to react with the precursor to form a single TiSiN film covering the contact area.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: August 7, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sangtae Ko
  • Patent number: 7238626
    Abstract: A method of stabilizing a poly(paraxylylene) dielectric thin film after forming the dielectric thin film via transport polymerization is disclosed, wherein the method includes annealing the dielectric thin film under at least one of a reductive atmosphere and a vacuum at a temperature above a reversible solid phase transition temperature of the dielectric film to convert the film from a lower temperature phase to a higher temperature phase, and cooling the dielectric thin film at a sufficient rate to a temperature below the solid phase transition temperature of the dielectric thin film to trap substantial portions of the film in the higher temperature phase.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: July 3, 2007
    Assignee: Dielectric Systems, Inc.
    Inventors: Chung J. Lee, Atul Kumar