Metal-insulator-semiconductor Capacitor, E.g., Trench Capacitor (epo) Patents (Class 257/E21.396)
  • Patent number: 7846791
    Abstract: A design structure of a trench capacitor with an isolation collar in a semiconductor substrate where the substrate adjacent to the isolation collar is free of dopants caused by auto-doping. The design structure resulting from the means for fabricating the trench capacitor includes the methods of forming a trench in the semiconductor substrate; depositing a dielectric layer on a sidewall of the trench; filling the trench with a first layer of undoped polysilicon; etching away the first layer of undoped polysilicon and the dielectric layer from an upper section of the trench whereby the semiconductor substrate is exposed at the sidewall in the upper section of the trench; forming an isolation collar layer on the sidewall in the upper section of the trench; and filling the trench with a second layer of doped polysilicon.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: December 7, 2010
    Assignee: International Business Machines Corporation
    Inventor: Kangguo Cheng
  • Patent number: 7820507
    Abstract: A semiconductor device and a method for fabricating the same are provided. The semiconductor device includes: an inter-layer dielectric (ILD) layer formed on a semiconductor substrate; a contact plug formed in the ILD layer, such that a predetermined portion of the contact plug protrudes above the ILD layer; an etch stop layer formed on the ILD layer exposing a top portion of the contact plug; and a bottom electrode of a capacitor formed partially in the etch stop layer to be isolated from the ILD layer by the etch stop layer and the contact plug to prevent a direct contact with the ILD layer, and to be partially contacted with the contact plug.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: October 26, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung-Bok Choi
  • Patent number: 7811881
    Abstract: A semiconductor structure including a trench formed in a substrate and a buried isolation collar that extends about sidewalls of the trench. The buried isolation collar is constituted by an insulator formed from a buried porous region of substrate material. The porous region is formed from a buried doped region defined using masking and ion implantation or by masking the trench sidewalls and using dopant diffusion. Advantageously, the porous region is transformed to an oxide insulator by an oxidation process. The semiconductor structure may be a storage capacitor of a memory cell further having a buried plate about the trench and a capacitor node inside the trench that is separated from the buried plate by a node dielectric formed on the trench sidewalls.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: October 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Jack Allan Mandelman
  • Patent number: 7786521
    Abstract: A semiconductor device with a dielectric structure and a method for fabricating the same are provided. A capacitor in the semiconductor device includes: a bottom electrode formed on a substrate; a first dielectric layer made of titanium dioxide (TiO2) in rutile phase and formed on the bottom electrode; and an upper electrode formed on the first dielectric layer.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: August 31, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki-Seon Park, Jae-Sung Roh
  • Publication number: 20100200949
    Abstract: A method of forming a deep trench capacitor includes providing a wafer. Devices are formed on a front side of the wafer. A through-silicon-via is formed on a substrate of the wafer. Deep trenches are formed on a back side of the wafer. A deep trench capacitor is formed in the deep trench. The through-silicon-via connects the deep trench capacitor to the devices.
    Type: Application
    Filed: February 12, 2009
    Publication date: August 12, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Roger A. Booth, JR., Kangguo Cheng, Robert Hannon, Ravi M. Todi, Geng Wang
  • Patent number: 7759188
    Abstract: A method of fabricating a vertical field effect transistor (“FET”) is provided which includes a transistor body region and source and drain regions disposed in a single-crystal semiconductor-on-insulator (“SOI”) region of a substrate adjacent a sidewall of a trench. The substrate includes a buried insulator layer underlying the SOI region and a bulk region underlying the buried insulator layer. A buried strap conductively connects the SOI region to a lower node disposed below the SOI region and a body contact extends from the transistor body region to the bulk region of the substrate, the body contact being insulated from the buried strap.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Gary B. Bronner, Ramachandra Divakaruni, Carl J. Radens
  • Patent number: 7723181
    Abstract: A small-size (w<0.5 micrometers) alignment mark in combination with a “k1 process” is proposed, which is particularly suited for the fabrication of trench-capacitor DRAM devices which requires highly accurate AA-DT and GC-DT overlay alignment. The “k1 process” is utilized to etch away polysilicon studded in the alignment mark trenches and to refresh the trench profile, thereby improving overlay alignment accuracy and precision.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: May 25, 2010
    Assignee: Nanya Technology Corp.
    Inventors: An-Hsiung Liu, Chiang-Lin Shih, Wen-Bin Wu, Hui-Min Mao, Lin-Chin Su, Pei-Ing Lee
  • Patent number: 7723185
    Abstract: A flash memory device where the floating gate of the flash memory is defined by a recessed access device. The use of a recessed access device results in a longer channel length with less loss of device density. The floating gate can also be elevated above the substrate a selected amount so as to achieve a desirable coupling between the substrate, the floating gate and the control gate incorporating the flash cell.
    Type: Grant
    Filed: March 10, 2008
    Date of Patent: May 25, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Todd Abbott
  • Patent number: 7718993
    Abstract: A method for producing predetermined shapes in a crystalline Si-containing material that have substantially uniform straight sides or edges and well-defined inside and outside corners is provided together with the structure that is formed utilizing the method of the present invention. The inventive method utilizes conventional photolithography and etching to transfer a pattern, i.e., shape, to a crystalline Si-containing material. Since conventional processing is used, the patterns have the inherent limitations of rounded corners. A selective etching process utilizing a solution of diluted ammonium hydroxide is used to eliminate the rounded corners providing a final shape that has substantially straight sides or edges and substantially rounded corners.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: May 18, 2010
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Kenneth T. Settlemyer, James J. Toomey, Haining Yang
  • Patent number: 7691696
    Abstract: Hemi-spherical structure and method for fabricating the same. A device includes discrete pillar regions on a substrate, and a pattern layer on the discrete support structures and the substrate. The pattern layer has hemi-spherical film regions on the discrete support structures respectively, and planarized portions on the substrate between the hemi-spherical film regions. Each of the hemi-spherical film regions in a position corresponding to each of the support structures serves as a hemi-spherical structure.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: April 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Chyi Liu, Chi-Hsin Lo
  • Publication number: 20100078708
    Abstract: A MOS transistor having an increased gate-drain capacitance is described. One embodiment provides a drift zone of a first conduction type. At least one transistor cell has a body zone, a source zone separated from the drift zone by the body zone, and a gate electrode, which is arranged adjacent to the body zone and which s dieletrically insulated from the body zone by a gate dielectric. At least one compensation zone of the first conduction type is arranged in the drift zone. At least one feedback electrode is arranged at a distance from the body zone, which is dielectrically insulated from the drift zone by a feedback dielectric and which is electrically conductively connected to the gate electrode.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Armin Willmeroth, Michael Treu
  • Patent number: 7683416
    Abstract: A design structure for capacitor having a suitably large value for decoupling applications is formed in a trench defined by isolation structures such as recessed isolation or shallow trench isolation. The capacitor provides a contact area coextensive with an active area and can be reliably formed individually or in small numbers. Plate contacts are preferably made through implanted regions extending to or between dopant diffused regions forming a capacitor plate. The capacitor can be formed by a process subsequent to formation of isolation structures such that preferred soft mask processes can be used to form the isolation structures and process commonality and compatibility constraint are avoided while the capacitor forming processes can be performed in common with processing for other structures.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: March 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Anil K. Chinthakindi, Deok-kee Kim, Xi Li
  • Patent number: 7667258
    Abstract: Double-sided container capacitors are formed using sacrificial layers. A sacrificial layer is formed within a recess in a structural layer. A lower electrode is formed within the recess. The sacrificial layer is removed to create a space to allow access to the sides of the structural layer. The structural layer is removed, creating an isolated lower electrode. The lower electrode can be covered with a capacitor dielectric and upper electrode to form a double-sided container capacitor.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: February 23, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Kevin R. Shea, Chris W. Hill, Kevin J. Torek
  • Patent number: 7666752
    Abstract: The present invention relates to a method for depositing a dielectric material comprising a transition metal compound. After providing a substrate, a first pre-cursor comprising a transition metal compound and a second pre-cursor predominantly comprising at least one of water vapour, ammonia and hydrazine are successively applied on the substrate for forming a first layer of transition metal containing material. In a next step the first pre-cursor and a third pre-cursor comprising at least one of ozone and oxygen are successively applied on the first layer for forming a second layer of the transition metal containing material.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: February 23, 2010
    Assignee: Qimonda AG
    Inventors: Stephan Kudelka, Lars Oberbeck, Uwe Schroeder, Tim Boescke, Johannes Heitmann, Annette Saenger, Joerg Schumann, Elke Erben
  • Publication number: 20100041191
    Abstract: A method of manufacturing a dynamic random access memory cell includes: forming a substrate having an insulating region over a conductive region; forming a fin of a fin-type field effect transistor (FinFET) device over the insulating region; forming a storage capacitor at a first end of the fin; and forming a back-gate at a lateral side of the fin. The back-gate is in electrical contact with the conductive region and is structured and arranged to influence a threshold voltage of the fin.
    Type: Application
    Filed: August 15, 2008
    Publication date: February 18, 2010
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 7662720
    Abstract: In an embodiment, a 3-dimensional flash memory device includes: a gate extending in a vertical direction on a semiconductor substrate; a charge storing layer surrounding the gate; a silicon layer surrounding the charge storing layer; a channel region vertically formed in the silicon layer; and source/drain regions vertically formed on both sides of the channel region in the silicon layer. Integration can be improved by storing data in a 3-dimensional manner; a 2-bit operation can be performed by providing transistors on both sides of the gate.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: February 16, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Min Kim, Eun-Jung Yun, Dong-Won Kim, Jae-Man Yoon
  • Patent number: 7659163
    Abstract: A method for forming a semiconductor device is provided. The method includes providing a substrate having a plurality of protrusions projecting from the substrate; forming a silicon layer over the substrate and each protrusion; performing an anisotropic etching to transfer the silicon layer into a silicon spacer positioned on a side wall of each protrusion; forming an oxide layer over the silicon spacer; and etching the substrate to form a recess on the substrate by using the oxide layer as a mask.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: February 9, 2010
    Assignee: Nanya Technology Corp.
    Inventors: Chih-Huang Wu, Chien-Jung Yang
  • Publication number: 20100029056
    Abstract: A method of manufacturing a dual contact trench capacitor is provided. The method includes a first plate extending from a trench and isolated from a wafer body, and forming a second plate extending from the trench and isolated from the wafer body and the first plate.
    Type: Application
    Filed: July 29, 2008
    Publication date: February 4, 2010
    Inventors: Timothy W. Kemerer, Jenifer E. Lary, James S. Nakos, Steven M. Shank
  • Patent number: 7651909
    Abstract: A method for fabricating a metal-insulator-metal capacitor is described. A first metal layer is formed on a substrate. A plasma treatment is performed on the surface of the first metal layer. Then, a first oxide layer, a nitride layer and a second oxide layer are formed in sequence over the first metal layer. Thereafter, a second metal layer is formed on the second oxide layer. The second metal layer, the second oxide layer, the nitride layer, the first oxide layer and the first metal layer are defined to form the metal-insulator-metal capacitor.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: January 26, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Ping-Wei Lin, Chin-Chia Wu, Chao-Sheng Chiang
  • Patent number: 7638392
    Abstract: The invention includes methods of forming channel region implants for two transistor devices simultaneously, in which a mask is utilized to block a larger percentage of a channel region location of one of the devices relative to the other. The invention also pertains to methods of forming capacitor structures in which a first capacitor electrode is spaced from a semiconductor substrate by a dielectric material, a second capacitor electrode comprises a conductively-doped diffusion region within the semiconductor material, and a capacitor channel region location is beneath the dielectric material and adjacent the conductively-doped diffusion region. An implant mask is formed to cover only a first portion of the capacitor channel region location and to leave a second portion of the capacitor channel region location uncovered. While the implant mask is in place, dopant is implanted into the uncovered second portion of the capacitor channel region location.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: December 29, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Kurt D. Beigel, Fred D. Fishburn, Rongsheng Yang
  • Patent number: 7638390
    Abstract: A static random access memory (SRAM) cell structure at least comprising a substrate, a transistor, an upper electrode and a capacitor dielectric layer. A device isolation structure is set up in the substrate to define an active region. The active region has an opening. The transistor is set up over the active region of the substrate. The source region of the transistor is next to the opening. The upper electrode is set up over the opening such that the opening is completely filled. The capacitor dielectric layer is set up between the upper electrode and the substrate.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: December 29, 2009
    Assignee: United Microelectric Corp.
    Inventors: Tzung-Han Lee, Kuang-Pi Lee, Wen-Jeng Lin, Rern-Hurng Larn
  • Patent number: 7615443
    Abstract: The invention discloses a method of forming a finFET device. A hard mask layer is formed on an active area of a semiconductor substrate. A portion of the hard mask layer is etched to form a recess. A conformal gate defining layer is deposited on the recess and a tilt angle ion implantation process is performed. A part of the gate defining layer is removed to define a fin pattern. The fin pattern is subsequently transferred to the hard mask layer. The patterned hard mask layer having the fin pattern is utilized as an etching mask, and the semiconductor substrate is etched to form a fin structure.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: November 10, 2009
    Assignee: Nanya Technology Corp.
    Inventors: Chih-Hao Cheng, Tzung-Han Lee
  • Patent number: 7615460
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a conductive hard mask coupled to the semiconductor substrate via discharge plugs on a thick insulating film, selectively etching the thick insulating film by using the conductive hard mask to form cylindrical holes in the thick insulating film. The resultant cylindrical holes are free form bowing structure.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: November 10, 2009
    Assignee: Elpida Memory, Inc.
    Inventor: Yasuhiko Ueda
  • Patent number: 7595229
    Abstract: A semiconductor device having a plurality of layers and a capacitor array that includes a plurality of individual capacitors. At least one of the plurality of layers in the semiconductor device may be a via layer configured to determine the connections and capacitances of the plurality of individual capacitors in the capacitor array. The semiconductor device may include a metal structure disposed within the device to provide an electromagnetic shield for at least one of the plurality of individual capacitors in the capacitor array.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: September 29, 2009
    Assignees: Triad Semiconductor, Inc., Viasic, Inc.
    Inventors: David Ihme, James C. Kemerling, William D. Cox
  • Patent number: 7592233
    Abstract: A method for forming a memory device with a recessed gate is disclosed. A substrate with a pad layer thereon is provided. The pad layer and the substrate are patterned to form at least two trenches. A deep trench capacitor is formed in each trench. A protrusion is formed on each deep trench capacitor, wherein a top surface level of each protrusion is higher than that of the pad layer. Spacers are formed on sidewalls of the protrusions, and the pad layer and the substrate are etched using the spacers and the protrusions as a mask to form a recess. A recessed gate is formed in the recess.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: September 22, 2009
    Assignee: Nanya Technology Corporation
    Inventors: Pei-Ing Lee, Chung-Yuan Lee, Chien-Li Cheng
  • Patent number: 7585741
    Abstract: The invention includes methods of forming semiconductor constructions and methods of forming pluralities of capacitor devices. An exemplary method of the invention includes forming conductive material within openings in an insulative material to form capacitor electrode structures. A lattice is formed in physical contact with at least some of the electrode structures, a protective cap is formed over the lattice, and subsequently some of the insulative material is removed to expose outer surfaces of the electrode structures. The lattice can alleviate toppling or other loss of structural integrity of the electrode structures, and the protective cap can protect covered portions of the insulative material from the etch. After the outer sidewalls of the electrode structures are exposed, the protective cap is removed. The electrode structures are then incorporated into capacitor constructions.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: September 8, 2009
    Assignee: Micron Technology, Inc.
    Inventor: H. Montgomery Manning
  • Patent number: 7582525
    Abstract: A method for fabricating a semiconductor device is provided. The method includes: forming an inter-layer insulation layer on a substrate; forming storage node contact plugs penetrating into the inter-layer insulation layer; forming a stack structure formed by stacking a first protective barrier layer and a sacrificial layer on the inter-layer insulation layer; performing an etching process to the first protective barrier layer and the sacrificial layer in a manner to have a trenches opening upper portions of the storage node contact plugs; forming storage nodes having a cylinder type inside of the trenches; forming a second protective barrier layer filling the inside of the storage nodes having the cylinder type; removing the sacrificial layer through performing a wet dip-out process; removing the first protective barrier layer and the second protective barrier layer; and sequentially forming a dielectric layer and a plate node on the storage nodes.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: September 1, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Keun-Kyu Kong, Jae-Chang Jung
  • Patent number: 7573086
    Abstract: A capacitor is disclosed that is formed as part of an integrated circuit (IC) fabrication process. The capacitor generally comprises a top conductive plate, a capacitor dielectric and a bottom conductive plate that respectively comprise a patterned layer of tantalum nitride TaN, a layer of a nitride based material and a layer of patterned polysilicon.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: August 11, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Michael LeRoy Huber, Gregory Lee Hendy, Evelyn Anne Lafferty, George Nicholas Harakas, Salvatore Frank Pavone, Blake Ryan Pasker, Courtney Michael Hazelton, James Wayne Klawinsky
  • Patent number: 7569451
    Abstract: A method of fabricating an isolation shallow trench contains providing a substrate with at least a deep trench, forming a cap layer on the upper portion of the deep trench, forming a crust layer on a portion of the cap layer, defining a trench extending through the cap layer and the conductive layer, and forming an isolation layer in the shallow trench.
    Type: Grant
    Filed: January 6, 2008
    Date of Patent: August 4, 2009
    Assignee: Nanya Technology Corp.
    Inventors: Jen-Jui Huang, Hsiu-Chun Lee, Chang-Ho Yeh
  • Publication number: 20090191685
    Abstract: A method for forming a capacitor in a dynamic random access memory, comprising steps of: providing a semiconductor substrate having at least a transistor, whereon an interlayer dielectric layer having at least a first plug is formed so that the first plug is connected to the drain of the transistor; depositing an etching stop layer on the first plug and the interlayer dielectric layer; depositing a first insulating layer on the etching stop layer; forming at least a second plug on the first insulating layer and the etching stop layer so that the second plug is connected to the first plug; depositing a second insulating layer on the first insulating layer and the second plug; forming at least a mold cavity in the second insulating layer so that the aperture of the mold cavity is larger than the diameter of the second plug and there is a deviation between the mold cavity and the second plug; removing the first insulating layer in the mold cavity until the etching stop layer; depositing a first electrode layer t
    Type: Application
    Filed: July 25, 2008
    Publication date: July 30, 2009
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Heng-Yuan LEE, Ching-Chiun WANG, Tai-Yuan WU
  • Patent number: 7563671
    Abstract: A method for forming a trench capacitor and memory cell by providing a substrate on which a grid STI and a plurality of active regions covered by a hard mask layer are formed. A photoresist is formed and a low grade photo mask having only X direction consideration is used to define the required pattern on the photoresist. The hard mask layer and the STI are used as an etching mask to etch a plurality of deep trenches. Then diffusion regions, capacitor dielectric layer, and polysilicon filled to form the capacitor bottom electrode are sequentially formed to complete the forming for trench capacitors. After removing the hard mask layer and performing a logic process, the memory cells are completed.
    Type: Grant
    Filed: November 22, 2007
    Date of Patent: July 21, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Nan Su, Jun-Chi Huang
  • Patent number: 7563730
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a hafnium lanthanide oxynitride film on a substrate for use in a variety of electronic systems. The hafnium lanthanide oxynitride film may be structured as one or more monolayers. Metal electrodes may be disposed on a dielectric containing a hafnium lanthanide oxynitride film.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: July 21, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Patent number: 7563686
    Abstract: A method for forming a memory device with a recessed gate is disclosed. A substrate with a pad layer thereon is provided. The pad layer and the substrate are patterned to form at least two trenches. A deep trench capacitor device is formed in each trench. The pad layer is recessed until upper portions of the deep trench capacitor devices are revealed. Spacers are formed on sidewalls of the upper portions of the deep trench capacitor devices. The pad layer and the substrate are etched using the spacers and the deep trench capacitor devices as a mask to form a recess, and a recessed gate is formed in the recess.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: July 21, 2009
    Assignee: Nanya Technology Corporation
    Inventors: Pei-Ing Lee, Chung-Yuan Lee, Chien-Li Cheng
  • Patent number: 7547937
    Abstract: A semiconductor memory device includes a first word-line, a first non-inverted bit-line, a first inverted bit-line, a first global interconnection layer, a first memory capacitor having a first storage electrode, a first counter electrode, and a first oxide dielectric film, a second memory capacitor having a second storage electrode, a second counter electrode, and a second oxide dielectric film, a first local interconnection layer including a first contact portion, a second contact portion, and a first non-contact portion, a first hydrogen barrier layer covering at least the first contact portion and the second contact portion of the first local interconnection layer, a first switching transistor having a first gate electrode, a second switching transistor having a second gate electrode, and a third switching transistor having a third gate electrode.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: June 16, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Tomomi Yamanobe
  • Patent number: 7544604
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a tantalum lanthanide oxynitride film on a substrate for use in a variety of electronic systems. The tantalum lanthanide oxynitride film may be structured as one or more monolayers. Metal electrodes may be disposed on a dielectric containing a tantalum lanthanide oxynitride film.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: June 9, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Patent number: 7544563
    Abstract: The invention includes methods and integrated circuitry. Pillars project outwardly from openings in a first material over individual capacitor storage node locations. Insulative material is deposited over the first material laterally about sidewalls of the projecting pillars, and is anisotropically etched effective to expose underlying first material and leave electrically insulative material received laterally about the sidewalls of the projecting pillars. Openings are formed within a second material to the pillars. The pillars are etched from the substrate through the openings in the second material, and individual capacitor electrodes are formed within the openings in electrical connection with the storage node locations. The individual capacitor electrodes have the anisotropically etched insulative material received laterally about their outer sidewalls. The individual capacitor electrodes are incorporated into a plurality of capacitors. Other implementations and aspects are contemplated.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: June 9, 2009
    Assignee: Micron Technology, Inc.
    Inventor: H. Montgomery Manning
  • Publication number: 20090121270
    Abstract: A design structure of a trench capacitor with an isolation collar in a semiconductor substrate where the substrate adjacent to the isolation collar is free of dopants caused by auto-doping. The design structure resulting from the means for fabricating the trench capacitor includes the methods of forming a trench in the semiconductor substrate; depositing a dielectric layer on a sidewall of the trench; filling the trench with a first layer of undoped polysilicon; etching away the first layer of undoped polysilicon and the dielectric layer from an upper section of the trench whereby the semiconductor substrate is exposed at the sidewall in the upper section of the trench; forming an isolation collar layer on the sidewall in the upper section of the trench; and filling the trench with a second layer of doped polysilicon.
    Type: Application
    Filed: November 8, 2007
    Publication date: May 14, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Kangguo Cheng
  • Patent number: 7531418
    Abstract: In a method for producing a conductive layer a substrate is provided. On the substrate, a layer includes at least two different metal nitrides. In one embodiment, on a surface of the substrate a first metal nitride layer is deposited, followed by a second metal nitride layer formed thereon. A third metal layer is then deposited on a surface of the second metal nitride layer.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: May 12, 2009
    Assignee: Qimonda AG
    Inventors: Bernd Hintze, Stephan Kudelka, Jonas Sundqvist
  • Patent number: 7525142
    Abstract: A trench capacitor is formed in a semiconductor substrate with a capacitor insulating film. The trench has a conductive layer as storage node electrode buried in a trench. The conductive layer includes a first, a second, and third conductive layer. The first conductive layer is buried in a lower portion of the trench. The second conductive layer is buried in a recess on the upper surface of the first conductive layer. The third conductive layer is buried to contact with the first and second conductive layers.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: April 28, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hirofumi Inoue, Masahito Shinohe
  • Patent number: 7524774
    Abstract: An object of the present invention is to prevent an increase in film thickness and inhibit a reduction in capacity of a capacitor. In a semiconductor device having a capacitor, the capacitor includes a lower electrode, an upper electrode, and an insulating film interposed between the lower electrode and the upper electrode. A surface of the lower electrode on an insulating layer side is nitrided. If the lower electrode is made of polysilicon, nitriding the surface thereof increases oxidation resistance at the time of heat treatment in a post process. Particularly in a DRAM, the capacity of the capacitor is large, and therefore, this effect is significant. Further, leakage current inside the capacitor is also reduced.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: April 28, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Masaru Sasaki, Yoshiro Kabe
  • Publication number: 20090095999
    Abstract: Provided are a semiconductor device and a method of fabricating the semiconductor device. The semiconductor device includes a first conductive well region in a semiconductor substrate and a second conductive well region on or in the first conductive well region. A gate electrode is in a trench on a gate insulation layer, and the trench is in the second conductive region and the first conductive well region. A drain includes a drain insulation layer, a (polysilicon) shield layer, and drain plug. The drain insulation layer is in a trench in the second conductive region and the first conductive well region. The shield layer encloses the drain plug. A lower portion of the drain plug contacts the second conductive well region. A first conductive source region is at a side of the gate electrode.
    Type: Application
    Filed: December 14, 2007
    Publication date: April 16, 2009
    Inventor: Byung Tak Jang
  • Publication number: 20090072290
    Abstract: An isolated shallow trench isolation portion is formed in a top semiconductor portion of a semiconductor-on-insulator substrate along with a shallow trench isolation structure. A trench in the shape of a ring is formed around a doped top semiconductor portion and filled with a conductive material such as doped polysilicon. The isolated shallow trench isolation portion and the portion of a buried insulator layer bounded by a ring of the conductive material are etched to form a cavity. A capacitor dielectric is formed on exposed semiconductor surfaces within the cavity and above the doped top semiconductor portion. A conductive material portion formed in the trench and above the doped top semiconductor portion constitutes an inner electrode of a capacitor, while the ring of the conductive material, the doped top semiconductor portion, and a portion of a handle substrate abutting the capacitor dielectric constitute a second electrode.
    Type: Application
    Filed: September 19, 2007
    Publication date: March 19, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Louis C. Hsu, Jack A. Mandelman, William Tonti
  • Patent number: 7501320
    Abstract: A semiconductor device with a dielectric structure and a method for fabricating the same are provided. A capacitor in the semiconductor device includes: a bottom electrode formed on a substrate; a first dielectric layer made of titanium dioxide (TiO2) in rutile phase and formed on the bottom electrode; and an upper electrode formed on the first dielectric layer.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: March 10, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki-Seon Park, Jae-Sung Roh
  • Patent number: 7494890
    Abstract: A structure of a trench capacitor and method for manufacturing the same. The method includes providing a substrate having a defined memory area and logic area, and performing an STI process to form at least one STI region on the memory area of the substrate and at least one STI region on the logic area of the substrate. Then, a patterned mask is formed on the substrate and the STI region to partially expose the STI region and partially expose the substrate surrounding the STI region. Next, the STI region and the substrate not covered by the mask are etched to from a plurality of deep trench.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: February 24, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Yi-Nan Su
  • Publication number: 20090042405
    Abstract: A method for making a semiconductor device is described. That method comprises forming a first dielectric layer on a substrate, a trench within the first dielectric layer, and a second dielectric layer on the substrate. The second dielectric layer has a first part that is formed in the trench and a second part. After a first metal layer with a first workfunction is formed on the first and second parts of the second dielectric layer, part of the first metal layer is converted into a second metal layer with a second workfunction.
    Type: Application
    Filed: June 12, 2008
    Publication date: February 12, 2009
    Inventors: Mark L. Doczy, Justin K. Brask, Jack Kavalieros, Uday Shah, Matthew V. Metz, Suman Datta, Ramune Nagisetty, Robert S. Chau
  • Patent number: 7482239
    Abstract: In one implementation, an opening within a capacitor electrode forming layer is formed over a substrate. A spacing layer is deposited over the capacitor electrode forming layer to within the opening over at least upper portions of sidewalls of the opening. The spacing layer is formed to be laterally thicker at an elevationally outer portion within the opening as compared to an elevationally inner portion within the opening. A spacer is formed within the opening by anisotropically etching the spacing layer. The spacer is laterally thicker at an elevationally outer portion within the opening as compared to an elevationally inner portion within the opening. After forming a first capacitor electrode layer laterally over the spacer, at least a portion of the spacer is removed and a capacitor dielectric region and a second capacitor electrode layer are formed over the first capacitor electrode layer.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: January 27, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu
  • Publication number: 20080315274
    Abstract: A trench capacitor and method of forming a trench capacitor. The trench capacitor including: a trench in a single-crystal silicon substrate, a conformal dielectric liner on the sidewalls and the bottom of the trench; an electrically conductive polysilicon inner plate filling regions of the trench not filled by the liner; an electrically conductive doped outer plate in the substrate surrounding the sidewalls and the bottom of the trench; a doped silicon region in the substrate; a first electrically conductive metal silicide layer on a surface region of the doped silicon region exposed at the top surface of the substrate; a second electrically conductive metal silicide layer on a surface region of the inner plate exposed at the top surface of the substrate; and an insulating ring on the top surface of the substrate between the first and second metal silicide layers.
    Type: Application
    Filed: June 25, 2007
    Publication date: December 25, 2008
    Inventors: Timothy Wayne Kemerer, Robert Mark Rassel, Steven M. Shank, Francis Roger White
  • Patent number: 7468317
    Abstract: A method of forming a metal line, in which a nitride layer is used instead of a metal barrier layer, enabling a metal line structure with a relatively low resistance and therefore realizing a high integration of a device. In the method of forming the metal line of the semiconductor device, a first insulating layer and a second insulating layer with a different etch selectivity are sequentially formed on a semiconductor substrate. Predetermined regions of the first insulating layer and the second insulating layer are sequentially etched to form a contact hole. A metal barrier layer is formed on the entire surface including the contact hole. A first metal material is deposited on the entire surface to gap-fill the contact hole. The first metal material on the second insulating layer is stripped such that the first metal material remains only within the contact hole, thus forming a contact plug. A metal line is formed on a predetermined region of the second insulating layer including the contact plug.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: December 23, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jik Ho Cho, Tae Kyung Kim
  • Patent number: 7456461
    Abstract: The present invention relates to a stacked capacitor array and a fabrication method for a stacked capacitor array having a multiplicity of stacked capacitors, an insulator keeping at least two adjacent stacked capacitors mutually spaced apart, so that no electrical contact can arise between them and the stacked capacitors are mechanically stabilized.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: November 25, 2008
    Assignee: Infineon Technologies AG
    Inventors: Martin Gutsche, Harald Seidl, Peter Moll
  • Patent number: 7442604
    Abstract: Provided are methods of manufacturing dielectric films including forming a first dielectric film on a wafer using atomic layer deposition (ALD) in a first batch type apparatus, forming a second dielectric film on the first dielectric film using atomic layer deposition in a second batch type apparatus, wherein the second dielectric film has a higher crystallization temperature than the first dielectric film and forming a third dielectric film on the second dielectric film using atomic layer deposition in a third batch type apparatus. Methods of manufacturing metal-insulator-metal (MIM) capacitors using the methods of forming the dielectric films and batch type atomic layer deposition apparatus for forming the dielectric films are also provided.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: October 28, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hyoung Choi, Jung-hee Chung, Se-hoon Oh, Jong-cheol Lee