Characterized By Formation And Post Treatment Of Dielectrics, E.g., Planarizing (epo) Patents (Class 257/E21.576)

  • Patent number: 11942358
    Abstract: The present disclosure describes a method of forming low thermal budget dielectrics in semiconductor devices. The method includes forming, on a substrate, first and second fin structures with an opening in between, filling the opening with a flowable isolation material, treating the flowable isolation material with a plasma, and removing a portion of the plasma-treated flowable isolation material between the first and second fin structures.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: March 26, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mrunal Abhijith Khaderbad, Ko-Feng Chen, Zheng-Yong Liang, Chen-Han Wang, De-Yang Chiou, Yu-Yun Peng, Keng-Chu Lin
  • Patent number: 11899242
    Abstract: A packaged device includes an optical IC having an optical feature therein. An interconnect structure including layers of conductive features embedded within respective layers of dielectric materials overlie the optical feature. The interconnect structure is patterned to remove the interconnect structure from over the optical feature and a dielectric material having optically neutral properties, relative to a desired light wavelength(s) is formed over the optical feature. One or more electronic ICs may be bonded to the optical IC to form an integrated package.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: February 13, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsien-Wei Chen, Ming-Fa Chen
  • Patent number: 11894270
    Abstract: Embodiments include an interconnect structure and methods of forming such an interconnect structure. In an embodiment, the interconnect structure comprises a first interlayer dielectric (ILD) and a first interconnect layer with a plurality of first conductive traces partially embedded in the first ILD. In an embodiment, an etch stop layer is formed over surfaces of the first ILD and sidewall surfaces of the first conductive traces. In an embodiment, the interconnect structure further comprises a second interconnect layer that includes a plurality of second conductive traces. In an embodiment, a via between the first interconnect layer and the second interconnect layer may be self-aligned with the first interconnect layer.
    Type: Grant
    Filed: April 13, 2022
    Date of Patent: February 6, 2024
    Assignee: Intel Corporation
    Inventors: Kevin Lin, Sudipto Naskar, Manish Chandhok, Miriam Reshotko, Rami Hourani
  • Patent number: 11798846
    Abstract: The present disclosure provides embodiments of a semiconductor device. In one embodiment, the semiconductor device includes a gate structure, a source/drain feature adjacent the gate structure, a first dielectric layer over the source/drain feature, an etch stop layer over the gate structure and the first dielectric layer, a second dielectric layer over the etch stop layer, a source/drain contact that includes a first portion extending through the first dielectric layer and a second portion extending through the etch stop layer and the second dielectric layer, a metal silicide layer disposed between the second portion and etch stop layer, and a metal nitride layer disposed between the first portion and the first dielectric layer.
    Type: Grant
    Filed: January 6, 2021
    Date of Patent: October 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Hsuan Lin, Xi-Zong Chen, Chih-Teng Liao
  • Patent number: 11791204
    Abstract: A connecting structure includes a first dielectric layer disposed over a substrate and a conductive feature, a doped dielectric layer disposed over the first dielectric layer, a first metal portion disposed in the first dielectric layer and in contact with the conductive feature, and a doped metal portion disposed over the first metal portion. The first metal portion and the doped metal portion include a same noble metal material. The doped dielectric layer and the doped metal portion include same dopants.
    Type: Grant
    Filed: February 9, 2021
    Date of Patent: October 17, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Kuo-Ju Chen, Chun-Hsien Huang, Su-Hao Liu, Liang-Yin Chen, Huicheng Chang, Yee-Chia Yeo
  • Patent number: 11735414
    Abstract: A method of post-deposition treatment for silicon oxide film includes: providing in a reaction space a substrate having a recess pattern on which a silicon oxide film is deposited; supplying a reforming gas for reforming the silicon oxide film to the reaction space in the absence of a film-forming precursor, said reforming gas being composed primarily of He and/or H2; and irradiating the reforming gas with microwaves in the reaction space having a pressure of 200 Pa or less to generate a direct microwave plasma to which the substrate is exposed, thereby reforming the silicon oxide film.
    Type: Grant
    Filed: June 20, 2021
    Date of Patent: August 22, 2023
    Assignee: ASM IP Holding B.V.
    Inventor: Toshiya Suzuki
  • Patent number: 11699618
    Abstract: The present disclosure describes a method for forming a nitrogen-rich protective layer within a low-k layer of a metallization layer to prevent damage to the low-k layer from subsequent processing operations. The method includes forming, on a substrate, a metallization layer having conductive structures in a low-k dielectric. The method further includes forming a capping layer on the conductive structures, where forming the capping layer includes exposing the metallization layer to a first plasma process to form a nitrogen-rich protective layer below a top surface of the low-k dielectric, releasing a precursor on the metallization layer to cover top surfaces of the conductive structures with precursor molecules, and treating the precursor molecules with a second plasma process to dissociate the precursor molecules and form the capping layer. Additionally, the method includes forming an etch stop layer to cover the capping layer and top surfaces of the low-k dielectric.
    Type: Grant
    Filed: August 12, 2020
    Date of Patent: July 11, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chen-Yi Lee, Chia-Lin Hsu
  • Patent number: 11651996
    Abstract: A semiconductor device includes first, second, and third metallization layers, on top of one another, that are disposed above a substrate, wherein each of the first, second, and third metallization layer includes a respective metallization structure formed in a respective dielectric layer, wherein the second metallization layer is disposed between the first and third metallization layers; and a via tower structure that extends from the first metallization layer to the third metallization layer so as to electrically couple at least part of the respective metallization structures of the first and third metallization layers.
    Type: Grant
    Filed: February 19, 2021
    Date of Patent: May 16, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Nick Samra, Stefan Rusu
  • Patent number: 11502002
    Abstract: Provided is a method for manufacturing a semiconductor device suitable for achieving low wiring resistance between semiconductor elements that is bonded via an adhesive layer and multi-layered. The method according to the present invention is as follows. First, a wafer laminate (W) is prepared, the wafer laminate (W) including a wafer (10) having a circuit forming surface (10a), a wafer (20) having a main surface (20a) and a back surface (20b), and an adhesive layer (30) containing an SiOC-based polymer. Then, a hole (H) is formed in the wafer laminate (W) by etching the wafer laminate (W) from the wafer (20) side via a mask pattern masking a portion of the main surface (20a) side of the wafer (20), the hole (H) extending through the wafer (20) and the adhesive layer (30) and reaching a wiring pattern (12b) in the wafer (10). Then, an insulating film (41) is formed on an inner surface of the hole (H). Then, the insulating film (41) on a bottom surface of the hole (H) is removed.
    Type: Grant
    Filed: May 27, 2019
    Date of Patent: November 15, 2022
    Assignee: DAICEL CORPORATION
    Inventor: Naoko Tsuji
  • Patent number: 11469100
    Abstract: A method of post-treating a dielectric film formed on a surface of a substrate includes positioning a substrate having a dielectric film formed thereon in a processing chamber and exposing the dielectric film to microwave radiation in the processing chamber at a frequency between 5 GHz and 7 GHz.
    Type: Grant
    Filed: March 12, 2020
    Date of Patent: October 11, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Yong Sun, Praket Prakash Jha, Jingmei Liang, Martin Jay Seamons, DongQing Li, Shashank Sharma, Abhilash J. Mayur, Wolfgang R. Aderhold
  • Patent number: 11448928
    Abstract: A display panel includes a first base substrate, a second base substrate facing the first base substrate, an insulating structure including a non-pad portion having an end aligned with a side surface of the second base substrate and a pad portion having an end laterally recessed from the side surface of the second base substrate, a pixel overlapping a display area and disposed between the first and second base substrates, a signal line on the first base substrate and connected to the pixel, and a connection pad including a portion disposed in a connection region defined between the side surface of the second base substrate and the end of the pad portion. The signal line overlaps the pad portion. The connection pad is in contact with the signal line. The insulating structure is disposed between the first and second base substrates and overlaps a non-display area outside the display area.
    Type: Grant
    Filed: June 8, 2021
    Date of Patent: September 20, 2022
    Assignee: Samsung Display Co., Ltd.
    Inventors: Yangho Bae, Jinjoo Ha, Seungki Song, Chansol Yoo, Joonhyeong Kim, Yunseok Lee
  • Patent number: 11322391
    Abstract: Embodiments and methods of an interconnect structure are provided. The interconnect structure includes a via, a trench that has an overlapping area with a top of the via, and a first layer of conducting material that has an overlapping area with a bottom of the via. The interconnect also includes a second layer of conducting material formed in the via, and a third layer of conducting material formed in the trench. The second layer of conducting material is in contact with the first layer of conducting material without a barrier in between the two conducting materials. The absence of the barrier at the bottom of the via can reduce the contact resistance of the interconnect structure.
    Type: Grant
    Filed: October 4, 2019
    Date of Patent: May 3, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tz-Jun Kuo, Chien-Hsin Ho, Ming-Han Lee
  • Patent number: 11296040
    Abstract: Disclosed herein are structures, devices, and methods for electrostatic discharge protection (ESDP) in integrated circuits (ICs). For example, in some embodiments, an IC package support may include: a first conductive structure in a dielectric material; a second conductive structure in the dielectric material; and a material in contact with the first conductive structure and the second conductive structure, wherein the material includes a polymer, and the material is different from the dielectric material. The material may act as a dielectric material below a trigger voltage, and as a conductive material above the trigger voltage.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: April 5, 2022
    Assignee: Intel Corporation
    Inventors: Adel A. Elsherbini, Feras Eid, Johanna M. Swan, Aleksandar Aleksov, Veronica Aleman Strong
  • Patent number: 11276580
    Abstract: A connecting structure of a conductive layer includes a first conductive layer, a first insulating layer disposed on the first conductive layer and including a first opening overlapping the first conductive layer, a connecting conductor disposed on the first insulating layer and connected to the first conductive layer through the first opening, an insulator island disposed on the connecting conductor, a second insulating layer disposed on the first insulating layer and including a second opening overlapping the connecting conductor and the insulator island, and a second conductive layer disposed on the second insulating layer and connected to a connecting electrode through the second opening. A sum of a thickness of the first insulating layer and a thickness of the second insulating layer is greater than or equal to 1 ?m, and each of the thicknesses of the first and second insulating layers is less than 1 ?m.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: March 15, 2022
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Su Bin Bae, Yu-Gwang Jeong, Shin Il Choi, Sang Gab Kim, Joon Geol Lee
  • Patent number: 11222843
    Abstract: A method for forming an interconnect structure is provided. The method for forming the interconnect structure includes forming a metal line over a substrate, forming a first dielectric layer surrounding the metal line, selectively forming a dielectric block over the first dielectric layer without forming the dielectric block on the metal line, forming a second dielectric layer over the dielectric block and the metal line, etching the second dielectric layer to form a via hole corresponding to the metal line, and filling the via hole with a conductive material.
    Type: Grant
    Filed: September 16, 2019
    Date of Patent: January 11, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsin-Yen Huang, Shao-Kuan Lee, Cheng-Chin Lee, Hai-Ching Chen, Shau-Lin Shue
  • Patent number: 11189528
    Abstract: A method is presented for constructing interconnects by employing a subtractive etch process. The method includes forming a plurality of first conductive lines within an interlayer dielectric, depositing dielectric layers over the plurality of first conductive lines, depositing a photoresist layer over the dielectric layers, patterning the photoresist layer to create vias to top surfaces of one or more of the plurality of first conductive lines, and depositing a conductive material such that the conductive material fills the vias and provides for a sheet of metal for second conductive lines formed above the first conductive lines.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: November 30, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John Christopher Arnold, Balasubramanian S. Pranatharthi Haran, Takeshi Nogami
  • Patent number: 11189580
    Abstract: Disclosed herein are structures, devices, and methods for electrostatic discharge protection (ESDP) in integrated circuits (ICs). For example, in some embodiments, an IC package support may include: a first conductive structure in a dielectric material; a second conductive structure in the dielectric material; and a material in contact with the first conductive structure and the second conductive structure, wherein the material includes a polymer, and the material is different from the dielectric material. The material may act as a dielectric material below a trigger voltage, and as a conductive material above the trigger voltage.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: November 30, 2021
    Assignee: Intel Corporation
    Inventors: Adel A. Elsherbini, Krishna Bharath, Feras Eid, Johanna M. Swan, Aleksandar Aleksov, Veronica Aleman Strong
  • Patent number: 11177170
    Abstract: A method for manufacturing a semiconductor device includes forming an interconnect in a first dielectric layer, and forming a second dielectric layer on the first dielectric layer. In the method, an etch stop layer is formed on the second dielectric layer, and a third dielectric layer is formed on the etch stop layer. A trench and an opening are formed in the third and second dielectric layers, respectively. A barrier layer is deposited in the trench and in the opening, and on a top surface of the interconnect. The method also includes removing the barrier layer from the top surface of the interconnect and from a bottom surface of the trench, and depositing a conductive fill layer in the trench and in the opening, and on the interconnect. A bottom surface of the trench includes the etch stop layer.
    Type: Grant
    Filed: January 16, 2020
    Date of Patent: November 16, 2021
    Assignee: International Business Machines Corporation
    Inventors: Chanro Park, Koichi Motoyama, Kenneth Chun Kuen Cheng, Nicholas Anthony Lanzillo
  • Patent number: 11164815
    Abstract: Techniques to enable bottom barrier free interconnects without voids. In one aspect, a method of forming interconnects includes: forming metal lines embedded in a dielectric; depositing a sacrificial dielectric over the metal lines; patterning vias and trenches in the sacrificial dielectric down to the metal lines, with the trenches positioned over the vias; lining the vias and trenches with a barrier layer; depositing a conductor into the vias and trenches over the barrier layer to form the interconnects; forming a selective capping layer on the interconnects; removing the sacrificial dielectric in its entirety; and depositing an interlayer dielectric (ILD) to replace the sacrificial dielectric. An interconnect structure is also provided.
    Type: Grant
    Filed: September 28, 2019
    Date of Patent: November 2, 2021
    Assignee: International Business Machines Corporation
    Inventors: Kenneth Chun Kuen Cheng, Koichi Motoyama, Kisik Choi, Cornelius Brown Peethala, Hosadurga Shobha, Joe Lee
  • Patent number: 11130670
    Abstract: A device includes a substrate, a routing conductive line over the substrate, a dielectric layer over the routing conductive line, and an etch stop layer over the dielectric layer. A Micro-Electro-Mechanical System (MEMS) device has a portion over the etch stop layer. A contact plug penetrates through the etch stop layer and the dielectric layer. The contact plug connects the portion of the MEMS device to the routing conductive line. An escort ring is disposed over the etch stop layer and under the MEMS device, wherein the escort ring encircles the contact plug.
    Type: Grant
    Filed: April 22, 2019
    Date of Patent: September 28, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shang-Ying Tsai, Hung-Hua Lin, Hsin-Ting Huang, Lung Yuan Pan, Jung-Huei Peng, Yao-Te Huang
  • Patent number: 11101142
    Abstract: Preheat processes for a millisecond anneal system are provided. In one example implementation, a heat treatment process can include receiving a substrate on a wafer support in a processing chamber of a millisecond anneal system; heating the substrate to an intermediate temperature; and heating the substrate using a millisecond heating flash. Prior to heating the substrate to the intermediate temperature, the process can include heating the substrate to a pre-bake temperature for a soak period.
    Type: Grant
    Filed: June 5, 2020
    Date of Patent: August 24, 2021
    Assignees: Beijing E-Town Semiconductor Technologv Co., Ltd., Mattson Technology, Inc.
    Inventor: Paul Timans
  • Patent number: 11081345
    Abstract: A method of post-deposition treatment for silicon oxide film includes: providing in a reaction space a substrate having a recess pattern on which a silicon oxide film is deposited; supplying a reforming gas for reforming the silicon oxide film to the reaction space in the absence of a film-forming precursor, said reforming gas being composed primarily of He and/or H2; and irradiating the reforming gas with microwaves in the reaction space having a pressure of 200 Pa or less to generate a direct microwave plasma to which the substrate is exposed, thereby reforming the silicon oxide film.
    Type: Grant
    Filed: February 6, 2018
    Date of Patent: August 3, 2021
    Assignee: ASM IP Holding B.V.
    Inventor: Toshiya Suzuki
  • Patent number: 11031289
    Abstract: A method of forming a semiconductor device includes forming a first dielectric layer over a front side of a wafer, the wafer having a plurality of dies at the front side of the wafer, the first dielectric layer having a first shrinkage ratio smaller than a first pre-determined threshold; curing the first dielectric layer at a first temperature, where after curing the first dielectric layer, a first distance between a highest point of an upper surface of the first dielectric layer and a lowest point of the upper surface of the first dielectric layer is smaller than a second pre-determined threshold; thinning the wafer from a backside of the wafer; and performing a dicing process to separate the plurality of dies into individual dies.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: June 8, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Meng-Che Tu, Wei-Chih Chen, Sih-Hao Liao, Yu-Hsiang Hu, Hung-Jui Kuo, Chen-Hua Yu
  • Patent number: 11018020
    Abstract: A method of fabricating an integrated circuit device includes forming a mold layer on a main surface of a substrate. A first hole is formed in the mold layer having a first inner wall that has a first tilt angle. A first conductive pattern is formed in the first hole. A block copolymer layer is formed on the mold layer and the first conductive pattern. A self-assembly layer is formed having a first domain and a second domain by phase separation of the block copolymer layer. The first domain covers the first conductive pattern and the second domain covers the mold layer. A second hole is formed by removing the first domain, the second hole having a second inner wall that has a second tilt angle. A second conductive pattern is formed in the second hole.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: May 25, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Seok-han Park
  • Patent number: 11004612
    Abstract: MIM capacitors using low temperature sub-nanometer periodic stack dielectrics (SN-PSD) containing repeating units of alternating high dielectric constant materials sublayer and low leakage dielectric sublayer are provided. Every sublayer has thickness less than 1 nm (sub nanometer). The high dielectric constant materials could be one or more different materials. The low leakage dielectric materials could be one or more different materials. For the SN-PSD containing more than two different materials, those materials are deposited in sequence with the leakage current of the materials from the lowest to the highest and then back to the second-lowest, or with the energy band gap of the materials from the widest to the narrowest and then back to the second widest in each periodic cell. A layer of low leakage current dielectric materials is deposited on and/or under SN-PSD. The dielectric constant of SN-PSD is much larger than that of the component oxides and can be readily deposited at 250° C.
    Type: Grant
    Filed: March 14, 2019
    Date of Patent: May 11, 2021
    Assignee: MicroSol Technologies Inc.
    Inventors: Yuanning Chen, Jesus Israel Mejia Silva, Chunya Wu, Deborah Jean Riley, Yun-Ju Lee
  • Patent number: 10964647
    Abstract: An interconnect level is provided on a surface of a substrate that has improved crack stop capability. The interconnect level includes at least one wiring region including an electrically conductive structure embedded in an interconnect dielectric material having a dielectric constant of less than 4.0, and a crack stop region laterally surrounding the wiring region. The crack stop region includes a crack stop dielectric material having a dielectric constant greater than the dielectric constant of the interconnect dielectric material. The crack stop region may be devoid of any metallic structure, or it may contain a metallic structure. The metallic structure in the crack stop region, which is embedded in the crack stop dielectric material, may be composed of a same, or different, electrically conductive metal or metal alloy as the electrically conductive structure embedded in the interconnect dielectric material.
    Type: Grant
    Filed: July 29, 2019
    Date of Patent: March 30, 2021
    Assignee: International Business Machines Corporation
    Inventors: Baozhen Li, Chih-Chao Yang, Griselda Bonilla
  • Patent number: 10943868
    Abstract: A semiconductor structure includes a first low-k dielectric layer disposed over a semiconductor substrate, a first conductive feature and a second conductive feature disposed in the first low-k dielectric layer, a second low-k dielectric layer disposed in the first low-k dielectric layer and interposed between the first conductive feature and the second conductive feature, where the second low-k dielectric layer includes an air gap, and an etch-stop layer disposed at an interface between the first low-k dielectric layer and the second low-k dielectric layer. The first low-k dielectric layer includes carbon whose concentration is graded in a direction away from the etch-stop layer.
    Type: Grant
    Filed: April 20, 2020
    Date of Patent: March 9, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Hsiang-Wei Lin
  • Patent number: 10858726
    Abstract: A vapor deposition mask (100) includes a resin layer (10) having a first primary surface (11) and a second primary surface (12), and having a plurality of openings (13), and a metal layer (20) having a third primary surface (21) and a fourth primary surface (22), and provided on the first primary surface of the resin layer so that the fourth primary surface is located on the resin layer side, wherein the metal layer is shaped so that the plurality of openings are exposed therethrough. A portion of the first primary surface of the resin layer that is in contact with the metal layer, a portion of the first primary surface of the resin layer that is not in contact with the metal layer, and the third primary surface of the metal layer each include a rough surface region having a depressed/protruding shape.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: December 8, 2020
    Assignee: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Koshi Nishida, Kozo Yano, Katsuhiko Kishimoto
  • Patent number: 10854472
    Abstract: Aspects of the present invention relate to approaches for forming a semiconductor device such as a field-effect-transistor (FET) having a metal gate with improved performance. A metal gate is formed on a substrate in the semiconductor device. Further processing can result in unwanted oxidation in the metal that forms the metal gate. A reducing agent can be used to de-oxidize the metal that forms the metal gate, leaving a substantially non-oxidized surface.
    Type: Grant
    Filed: March 19, 2014
    Date of Patent: December 1, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Huang Liu, Wen-Pin Peng, Jean-Baptiste Laloe
  • Patent number: 10847421
    Abstract: Methods and equipment for the removal of semiconductor wafers grown on the top surface of a single crystal silicon substrate covered by a porous silicon separation layer by using IR irradiation of the porous silicon separation layer to initiate release of the semiconductor wafer from the substrate, particularly at edges (and corners) of the top surface of the substrate.
    Type: Grant
    Filed: June 22, 2017
    Date of Patent: November 24, 2020
    Assignee: Svagos Technik, Inc.
    Inventors: Tirunelveli S. Ravi, Stephen Daniel Miller
  • Patent number: 10840352
    Abstract: Nanowire transistors including embedded dielectric spacers to separate a gate electrode from source and drain regions of the transistor. Embedded spacers are disposed within interior sidewalls of a passage through which the gate electrode wraps around a semiconductor filament. The presence of these embedded spacers may dramatically reduce fringe capacitance, particularly as the number of wires/ribbons/filaments in the transistor increases and the number of interior gate electrode passages increases. In some advantageous embodiments, embedded dielectric spacers are fabricated by encapsulating external surfaces prior to those surfaces becoming embedded within the transistor.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: November 17, 2020
    Assignee: Intel Corporation
    Inventors: Willy Rachmady, Seung Hoon Sung, Jack T. Kavalieros, Sanaz K. Gardner
  • Patent number: 10784357
    Abstract: A method of forming a gate structure, including forming one or more vertical fins on a substrate; forming a bottom spacer on the substrate surface adjacent to the one or more vertical fins; forming a gate structure on at least a portion of the sidewalls of the one or more vertical fins; forming a gauge layer on at least a portion of the bottom spacer, wherein the gauge layer covers at least a portion of the gate structure on the sidewalls of the one or more vertical fins; and removing a portion of the gauge layer on the bottom spacer.
    Type: Grant
    Filed: July 17, 2018
    Date of Patent: September 22, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Ruilong Xie, Tenko Yamashita, Chun-Chen Yeh
  • Patent number: 10714932
    Abstract: An ESD protection device includes an insulating substrate, first and second discharge electrodes in contact with the insulating substrate, the first and second discharge electrodes separated from each other and opposing each other, first and second outer electrodes on an outside surface of the insulating substrate, the first outer electrode being electrically connected to the first discharge electrode and the second outer electrode being electrically connected to the second discharge electrode, and a discharge auxiliary electrode spanning the first discharge electrode and the second discharge electrode in a region where the discharge electrodes oppose each other. The discharge auxiliary electrode includes semiconductor particles and metal particles. An average particle diameter of the metal particles is about 0.3 ?m to about 1.5 ?m.
    Type: Grant
    Filed: December 12, 2016
    Date of Patent: July 14, 2020
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Takahiro Sumi, Jun Adachi, Takayuki Tsukizawa, Katsumi Yasunaka, Sumiyo Nakamura, Mayu Suzuki
  • Patent number: 10685908
    Abstract: The present disclosure provides a method for forming a semiconductor structure. In accordance with some embodiments, the method includes providing a substrate and a conductive feature formed over the substrate; forming a low-k dielectric layer over the conductive feature; forming a contact trench aligned with the conductive feature; and selectively growing a sealing layer which is a monolayer formed on sidewalls of the contact trench.
    Type: Grant
    Filed: April 24, 2014
    Date of Patent: June 16, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Ting Huang, Hsiang-Wei Lin
  • Patent number: 10665610
    Abstract: In a display device such as a liquid crystal display device, a large-sized display screen is realized under low power consumption. A surface of a source wiring line of a pixel portion employed in an active matrix type liquid crystal display device is processed by way of a plating process operation so as to lower a resistance value of this source wiring line. The source wiring line of the pixel portion is manufactured at a step different from a step for manufacturing a source wiring line of a drive circuit portion. Further, electrodes of a terminal portion are processed by a plating process operation so as to reduce a resistance value thereof.
    Type: Grant
    Filed: May 30, 2017
    Date of Patent: May 26, 2020
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Hideaki Kuwabara, Saishi Fujikawa
  • Patent number: 10590306
    Abstract: A resin composition for a display substrate, the resin composition including a solvent and a heat-resistant resin or a precursor thereof, wherein the solvent has as a main component an amide compound having a surface tension of 35 mN/m or less at 25° C. Provided is a resin composition for a display substrate, whereby pinholing of a thin film is not prone to occur.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: March 17, 2020
    Assignee: TORAY INDUSTRIES, INC.
    Inventors: Tomoki Ashibe, Daichi Miyazaki, Koji Ueoka, Akinori Saeki, Masahito Nishiyama
  • Patent number: 10573808
    Abstract: Techniques regarding protecting a dielectric material during additive patterning of one or more phase change memories are provided. For example, one or more embodiments described herein can comprise a method, which can comprise forming a bi-layer adjacent a phase change memory element. The bi-layer can comprise a dielectric material and a capping material that can protect a thickness of the dielectric material during a patterning process.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: February 25, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Iqbal Rashid Saraf, Kevin W. Brew, Injo Ok, Nicole Saulnier, Robert Bruce
  • Patent number: 10553532
    Abstract: Embodiments of the invention include interconnect structures with overhead vias and through vias that are self-aligned with interconnect lines and methods of forming such structures. In an embodiment, an interconnect structure is formed in an interlayer dielectric (ILD). One or more first interconnect lines may be formed in the ILD. The interconnect structure may also include one or more second interconnect lines in the ILD that arranged in an alternating pattern with the first interconnect lines. Top surfaces of each of the first and second interconnect lines may be recessed below a top surface of the ILD. The interconnect structure may include a self-aligned overhead via formed over one or more of the first interconnect lines or over one or more of the second interconnect lines. In an embodiment, a top surface of the self-aligned overhead via is substantially coplanar with a top surface of the ILD.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: February 4, 2020
    Assignee: Intel Corporation
    Inventors: Richard E. Schenker, Manish Chandhok, Robert L. Bristol, Mauro J. Kobrinsky, Kevin Lin
  • Patent number: 10541204
    Abstract: An interconnection structure includes a non-insulator structure, a dielectric structure, and a conductive structure. The dielectric structure is present on the non-insulator structure. The dielectric structure has a trench opening and a via opening therein. The trench opening has a bottom surface and at least one recess in the bottom surface. The via opening is present between the trench opening and the non-insulator structure. The conductive structure is present in the trench opening and the via opening and electrically connected to the non-insulator structure. The conductive structure is at least separated from the bottom of the recess.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: January 21, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Che-Cheng Chang, Chih-Han Lin
  • Patent number: 10535581
    Abstract: A module 1a includes an electronic component 3a, and also includes a wiring substrate 2 on one principal surface of which the electronic component 3a is mounted and in which a radiator 4 for dissipating heat generated from the electronic component 3 is provided. The radiator 4 includes a heat dissipation section 4a that is provided so that a part thereof is exposed to a side surface of the wiring substrate 2. In this case, because the heat dissipation section 4a is provided so that a part thereof is exposed to the side surface of the wiring substrate 2, the heat from the electronic component 3a can be dissipated through the side surface of the wiring substrate 2.
    Type: Grant
    Filed: May 18, 2017
    Date of Patent: January 14, 2020
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventor: Kentaro Fujinaga
  • Patent number: 10510802
    Abstract: A semiconductor device includes a first conductive wiring, at least one first dielectric layer, at least one second dielectric layer and a second conductive wiring. The at least one first dielectric layer is over the first conductive wiring. The at least one second dielectric layer is over the at least one first dielectric layer. The second conductive wiring is over the at least one second dielectric layer. The dielectric constant of the at least one second dielectric layer is higher than the dielectric constant of the at least one first dielectric layer.
    Type: Grant
    Filed: April 13, 2017
    Date of Patent: December 17, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Harry-Hak-Lay Chuang, Wu-Chang Tsai, Tien-Wei Chiang
  • Patent number: 10438838
    Abstract: A method and structure for providing a semiconductor-on-insulator (SCOI) wafer having a buried low-K dielectric layer includes forming a device layer on a first semiconductor substrate. In various embodiments, at least a portion of the device layer is separated from the first semiconductor substrate, where the separating forms a cleaved surface on the separated portion of the device layer. In some examples, a patterned low-K dielectric layer is formed on a second semiconductor substrate. Thereafter, and in some embodiments, the separated portion of the device layer is bonded, along the cleaved surface, to the patterned low-K dielectric layer.
    Type: Grant
    Filed: June 13, 2017
    Date of Patent: October 8, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Hsiang Tsai, Chung-Chuan Tseng, Li Hsin Chu, Chia-Wei Liu
  • Patent number: 10431494
    Abstract: An interconnect structure is provided that includes an interconnect level that contains an interconnect dielectric material layer having a first electrically conductive via feature, an electrically conductive line feature, and a second electrically conductive via feature embedded in the interconnect dielectric material layer, wherein the first and second via features are self-aligned perpendicularly to, and along the direction of, the electrically conductive line feature.
    Type: Grant
    Filed: January 29, 2018
    Date of Patent: October 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Lawrence A. Clevenger, Brent A. Anderson
  • Patent number: 10395085
    Abstract: Embodiments of the disclosure relate to a semiconductor device. The semiconductor device includes a semiconductor substrate, a first metal wiring layer disposed on the semiconductor substrate, an interlayer dielectric layer (ILD) disposed on the first metal wiring layer, a second metal wiring layer disposed on the interlayer dielectric layer, and a first via and a second via disposed in the interlayer dielectric layer. The second via is on the first via, and there is not any metal wiring layer in the interlayer dielectric layer.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: August 27, 2019
    Assignee: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Shih-Hao Liu, Leuh Fang, Chih-Cherng Liao, Yun-Chou Wei, Chung-Ren Lao, Wu-Hsi Lu
  • Patent number: 10367069
    Abstract: A method of forming a gate structure, including forming one or more vertical fins on a substrate; forming a bottom spacer on the substrate surface adjacent to the one or more vertical fins; forming a gate structure on at least a portion of the sidewalls of the one or more vertical fins; forming a gauge layer on at least a portion of the bottom spacer, wherein the gauge layer covers at least a portion of the gate structure on the sidewalls of the one or more vertical fins; and removing a portion of the gauge layer on the bottom spacer.
    Type: Grant
    Filed: December 22, 2017
    Date of Patent: July 30, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Ruilong Xie, Tenko Yamashita, Chun-Chen Yeh
  • Patent number: 10354889
    Abstract: Processing methods may be performed to limit damage of features of a substrate, such as missing fin damage. The methods may include forming a plasma of an inert precursor within a processing region of a processing chamber. Effluents of the plasma of the inert precursor may be utilized to passivate an exposed region of an oxygen-containing material that extends about a feature formed on a semiconductor substrate. A plasma of a hydrogen-containing precursor may also be formed within the processing region. Effluents of the plasma of the hydrogen-containing precursor may be directed, with DC bias, towards an exposed silicon-containing material on the semiconductor substrate. The methods may also include anisotropically etching the exposed silicon-containing material with the plasma effluents of the hydrogen-containing precursor, where the plasma effluents of the hydrogen-containing precursor selectively etch silicon relative to silicon oxide.
    Type: Grant
    Filed: July 17, 2017
    Date of Patent: July 16, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Tom Choi, Mandar B. Pandit, Mang-Mang Ling, Nitin K. Ingle
  • Patent number: 10315724
    Abstract: A composite bicycle component includes a first member made of a metallic material. The first member has a surface with a first dimple. The first dimple includes a second dimple that is formed on the first dimple. Preferably, the composite bicycle component further includes a second member attached to the first member so that a part of the second member extends into the first and second dimples.
    Type: Grant
    Filed: January 11, 2013
    Date of Patent: June 11, 2019
    Assignee: Shimano Inc.
    Inventors: Tetsu Nonoshita, Toyoshi Yoshida, Wataru Yamauchi
  • Patent number: 10312075
    Abstract: A method of descumming a dielectric layer is provided. In an embodiment the dielectric layer is deposited over a substrate, and a photoresist is applied, exposed, and developed after the photoresist has been applied. Once the pattern of the photoresist is transferred to the underlying dielectric layer, a descumming process is performed, wherein the descumming process utilizes a mixture of a carbon-containing precursor, a descumming precursor, and a carrier gas. The mixture is ignited into a treatment plasma, and the treatment plasma is applied to the dielectric layer in order to descum the dielectric layer.
    Type: Grant
    Filed: January 6, 2016
    Date of Patent: June 4, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wan-Yi Kao, Kuang-Yuan Hsu, Tze-Liang Lee
  • Patent number: 10263107
    Abstract: A strain gated transistor and associated methods are shown. In one example, a transistor channel region includes a metal dichalcogen layer that is stressed to improve electrical properties of the transistor.
    Type: Grant
    Filed: May 1, 2017
    Date of Patent: April 16, 2019
    Assignee: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Cengiz S Ozkan, Mihrimah Ozkan, Yu Chai
  • Patent number: 10236252
    Abstract: In one example, a method for fabricating an integrated circuit includes patterning a layer of a first conductive metal, via a subtractive etch process, to form a plurality of lines for connecting semiconductor devices on the integrated circuit. A large feature area is formed outside of the plurality of conductive lines via a metal fill process using a second conductive metal.
    Type: Grant
    Filed: February 13, 2017
    Date of Patent: March 19, 2019
    Assignee: International Business Machines Corporation
    Inventors: Robert L. Bruce, Gregory M. Fritz, Eric A. Joseph, Hiroyuki Miyazoe