Silicided Or Salicided Gate Conductors (epo) Patents (Class 257/E21.636)
  • Patent number: 7727832
    Abstract: It is made possible to provide a method for manufacturing a semiconductor device that includes CMISs each having a low threshold voltage Vth and a Ni-FUSI/SiON or high-k gate insulating film structure. The method comprises: forming a p-type semiconductor region and an n-type semiconductor region insulated from each other in a substrate; forming a first and second gate insulating films on the p-type and n-type semiconductor regions, respectively; forming a first nickel silicide having a composition of Ni/Si<31/12 above the first gate insulating film, and a second nickel silicide having a composition of Ni/Si?31/12 on the second gate insulating film; and segregating aluminum at an interface between the first nickel silicide and the first gate insulating film by diffusing aluminum through the first nickel silicide.
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: June 1, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masato Koyama, Yoshinori Tsuchiya, Seiji Inumiya
  • Publication number: 20100075476
    Abstract: A method of manufacturing a semiconductor device which includes forming first and second gate patterns, forming first and second sidewall spacers on sidewalls of the first and second gate patterns respectively, implanting a first impurity into the semiconductor substrate, forming a third sidewall spacer on the first sidewall spacer and a fourth sidewall spacer on the second sidewall spacer in such a manner that the third sidewall spacer is in contact with the fourth sidewall spacer between the first and second gate patterns, implanting a second impurity into the semiconductor substrate, and removing the third and the fourth sidewall spacers.
    Type: Application
    Filed: August 20, 2009
    Publication date: March 25, 2010
    Applicant: FUJITSU LIMITED
    Inventor: Toshihiko Miyashita
  • Patent number: 7682971
    Abstract: Provided is a method for manufacturing a semiconductor device. In the method, a gate oxide layer, a gate polysilicon layer, and a capping oxide layer are sequentially formed on a semiconductor substrate. A photoresist pattern is formed on the capping oxide layer. The capping oxide layer, gate polysilicon layer, and gate oxide layer are sequentially etched using the photoresist pattern as an etch mask. Ions are then implanted into the semiconductor substrate using the photoresist pattern as a mask. A thermal diffusion process is performed to form source/drain regions. The capping oxide layer is removed, and ions are implanted into the gate polysilicon layer. After metal is deposited on the gate polysilicon layer, a silicide is formed.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: March 23, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Yong ho Oh
  • Patent number: 7667253
    Abstract: The present invention relates to a non-volatile memory device having conductive sidewall spacers and a method for fabricating the same. The non-volatile memory device includes: a substrate; a gate insulation layer formed on the substrate; a gate structure formed on the gate insulation layer; a pair of sidewall spacers formed on sidewalls of the gate structure; a pair of conductive sidewall spacers for trapping/detrapping charges formed on the pair of sidewall spacers; a pair of lightly doped drain regions formed in the substrate disposed beneath the sidewalls of the gate structure; and a pair of source/drain regions formed in the substrate disposed beneath edge portions of the pair of conductive sidewall spacers.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: February 23, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Kwan-Yong Lim, Heung-Jae Cho, Yong-Soo Kim, Se-Aug Jang, Hyun-Chul Sohn
  • Patent number: 7659133
    Abstract: Disclosed is a method for manufacturing a CMOS image sensor, capable of preventing dopants implanted with high energy from penetrating into a lower part of a gate electrode when a photodiode is formed, thereby preventing current leakage of a transistor and variation of a threshold voltage. The method includes the steps of forming a gate electrode on a transistor area of a first conductive type semiconductor substrate including a photodiode area and the transistor area, forming a salicide layer on the gate electrode, and implanting second conductive type dopants for forming a photodiode in a photodiode area of the semiconductor substrate.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: February 9, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung Moo Kim
  • Patent number: 7638427
    Abstract: An MOS transistor with a fully silicided gate is produced by forming a silicide compound in the gate separately and independently of silicide portions located in source and drain zones of the transistor. To this end, the silicide portions of the source and drain zones are covered by substantially impermeable coatings. The coatings prevent the silicide portions of the source and drain zones from increasing in volume during separate and independent formation of the gate silicide compound. The silicide gate may thus be thicker than the silicide portions of the source and drain zones.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: December 29, 2009
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Benoît Froment, Delphine Aime
  • Publication number: 20090302389
    Abstract: A method is described for forming gate structures with different metals on a single substrate. A thin semiconductor layer (26) is formed over gate dielectric (24) and patterned to be present in a first region (16) not a second region (18). Then, metal (30) is deposited and patterned to be present in the second region not the first. Then, a fully suicided gate process is carried out to result in a fully suicided gate structure in the first region and a gate structure in the second region including the fully suicided gate structure above the deposited metal (30).
    Type: Application
    Filed: September 11, 2006
    Publication date: December 10, 2009
    Applicant: NXP B.V.
    Inventors: Robert Lander, Mark Van Dal, Jacob Hooker
  • Publication number: 20090267158
    Abstract: There is provided a semiconductor device in which deviation in a work function is prevented by a gate electrode having a uniform composition and which has excellent operation properties by effectively controlling a Vth. The semiconductor device comprises an NMOS transistor and a PMOS transistor with a common line electrode, characterized in that the line electrode comprise an electrode section (A), an electrode section (B) and a diffusion barrier region formed in a part over an isolation region so that the electrode sections (A) and (B) are kept out of contact and the diffusion barrier region meets at least one of the following conditions (1) and (2). (1) The diffusion coefficient D1 in the above diffusion barrier region of the constituent element A? of the above electrode section (A) is lower than the interdiffusion coefficient D2 of the constituent element A? between electrode section (A) materials.
    Type: Application
    Filed: November 21, 2006
    Publication date: October 29, 2009
    Applicant: NEC CORPORATION
    Inventor: Takashi Hase
  • Publication number: 20090221116
    Abstract: Element characteristics disadvantageously fluctuate because the composition of the resultant silicide varies according to the change of the gate length when a full silicide gate electrode is formed by sintering a metal/poly-Si structure. The element characteristics also fluctuate due to element-to-element non-uniformity of the resultant silicide composition. By first forming full silicide having a metal-rich composition, depositing a Si layer thereon, and sintering the combined structure, the metal in the metal-rich silicide diffuses into the Si layer, so that the Si layer is converted into silicide. The entire structure thus is converted into full silicide having a smaller metal composition ratio.
    Type: Application
    Filed: August 29, 2006
    Publication date: September 3, 2009
    Inventor: Takashi Hase
  • Publication number: 20090203181
    Abstract: In an embodiment of the present invention, a semiconductor layer having regions into which a p-type impurity, an n-type impurity and a (p+n) impurity are respectively introduced is formed as a surface layer by being heat-treated. An impurity segregation layer on these regions is removed, and a film of a metallic material is thereafter formed on the regions and is heat-treated, thereby forming a silicide film on the semiconductor layer. In another embodiment, an impurity is introduced into the impurity segregation layer, and a film of a metallic material is thereafter formed on the impurity segregation layer and is heat-treated to form a silicide film.
    Type: Application
    Filed: January 30, 2009
    Publication date: August 13, 2009
    Inventors: Kyoichi Suguro, Mitsuaki Izuha
  • Patent number: 7560329
    Abstract: The semiconductor device comprises a gate electrode 112 formed over a semiconductor substrate 10, a sidewall spacer 116 formed on the side wall of the gate electrode 112, a sidewall spacer 144 formed on the side wall of the gate electrode 112 with the sidewall spacer 116 formed on, and an oxide film 115 formed between the sidewall spacer 116 and the sidewall spacer 144, and the semiconductor substrate 10. The film thickness of the oxide film 115 between the sidewall spacer 144 and the semiconductor substrate 10 is thinner than the film thickness of the oxide film 115 between the sidewall spacer 116 and the semiconductor substrate 10.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: July 14, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Shinichi Nakagawa
  • Patent number: 7547595
    Abstract: A method for forming CMOS integrated circuits. The method forms a blanket layer of silicon dioxide overlying an entirety of the surface region of a first well region and a second well region provided on a semiconductor substrate. The blanket layer of silicon dioxide is overlying the hard mask on the first gate structure and the second gate structure. The blanket layer of silicon dioxide is also overlying a region to be protected. Depending upon the embodiment, the region can be a sidewall spacer structure and portion of an MOS device on a peripheral region of the substrate. Of course, there can be other variations, modifications, and alternatives. The method protects the region to be protected using a masking layer, while the surface region of the first well region and the second well region being exposed.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: June 16, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Xian J. Ning
  • Publication number: 20090134470
    Abstract: The present invention relates to a semiconductor device that comprises at least one field effect transistor (FET) containing a source region, a drain region, a channel region, a gate dielectric layer, a gate electrode, and one or more gate sidewall spacers. The gate electrode of such an FET contains an intrinsically stressed gate metal silicide layer, which is laterally confined by one or more gate sidewall spacers and is arranged and constructed for creating stress in the channel region of the FET. Preferably, the semiconductor device comprises at least one p-channel FET, and more preferably, the p-channel FET has a gate electrode with an intrinsically stressed gate metal silicide layer that is laterally confined by one or more gate sidewall spacers and is arranged and constructed for creating compressive stress in the p-channel of the FET.
    Type: Application
    Filed: December 23, 2008
    Publication date: May 28, 2009
    Applicant: International Business Machines Corporation
    Inventor: Haining S. Yang
  • Publication number: 20090134469
    Abstract: A method of manufacturing a dual work function semiconductor device is disclosed. In one aspect, the method comprises providing a first metal layer over a first electrode in a first region, and at least a first work function tuning element. The method further comprises providing a second metal layer of a second metal in a second region at least over a second electrode. The method further comprises performing a first silicidation of the first electrode and a second silicidation of the second electrode simultaneously.
    Type: Application
    Filed: November 28, 2007
    Publication date: May 28, 2009
    Applicants: Interuniversitair Microelektronica Centrum (IMEC) vzw, Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shou-Zen Chang, HongYu Yu
  • Patent number: 7534709
    Abstract: Embodiments of the present invention include semiconductor devices that can be made with relatively low resistance, and methods of forming the semiconductor devices. A resistance reducing layer is formed between a polysilicon layer and a metal layer. As a result, an interface resistance between the polysilicon layer and the metal layer is greatly reduced and a distribution of the interface resistance is very uniform. As a result, a conductive structure including the resistance reducing layer has a greatly reduced sheet resistance to improve electrical characteristics of a semiconductor device having the conductive structure.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: May 19, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hwa Park, Gil-Heyun Choi, Chang-Won Lee, Byung-Hak Lee, Hee-Sook Park, Woong-Hee Sohn, Jong-Ryeol Yoo, Sun-Pil Yun, Jang-Hee Lee, Dong-Chan Lim
  • Patent number: 7531467
    Abstract: To provide a manufacturing method of a semiconductor device and a substrate processing apparatus capable of easily controlling a nitrogen concentration distribution in a film containing a metal atom and a silicon atom, and manufacturing a high quality semiconductor device. The method comprises a step of forming a film containing the metal atom and the silicon atom on a substrate 30 in a reaction chamber 4, and performing a nitriding process for the film, wherein the film is formed by changing a silicon concentration at least in two stages in the step of forming a film.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: May 12, 2009
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Atsushi Sano, Sadayoshi Horii, Hideharu Itatani, Masayuki Asai
  • Patent number: 7494859
    Abstract: A semiconductor device comprising a semiconductor substrate having a first impurity region and a second impurity region, a first gate pattern formed on the first impurity region, and a second gate pattern formed on the second impurity region is disclosed. The first gate pattern comprises a first gate insulation layer pattern, a metal layer pattern having a first thickness, and a first polysilicon layer pattern. The second gate pattern comprises a second gate insulation layer pattern, a metal silicide layer pattern having a second thickness smaller than the first thickness, and a second polysilicon layer pattern. The metal silicide layer pattern is formed from a material substantially the same as the material from which the metal layer pattern is formed. A method for manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: April 10, 2006
    Date of Patent: February 24, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hag-Ju Cho, Taek-Soo Jeon, Hye-Lan Lee, Sang-Bom Kang, Yu-Gyun Shin
  • Patent number: 7479683
    Abstract: The present invention provides a semiconductor structure including a semiconductor substrate having a plurality of source and drain diffusion regions located therein, each pair of source and drain diffusion regions are separated by a device channel. The structure further includes a first stack of a pFET device located on top of some of the device channels, the first gate stack including a high-k gate dielectric, an insulating interlayer abutting the gate dielectric and a fully silicided metal gate electrode abutting the insulating interlayer, the insulating interlayer includes an insulating metal nitride that stabilizes threshold voltage and flatband voltage of the p-FET device to a targeted value and is one of aluminum oxynitride, boron nitride, boron oxynitride, gallium nitride, gallium oxynitride, indium nitride and indium oxynitride.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: January 20, 2009
    Assignee: International Business Machines Corporation
    Inventors: Nestor A. Bojarczuk, Jr., Cyril Cabral, Jr., Eduard A. Cartier, Matthew W. Copel, Martin M. Frank, Evgeni P. Gousev, Supratik Guha, Rajarao Jammy, Vijay Narayanan, Vamsi K. Paruchuri
  • Patent number: 7465624
    Abstract: The present invention provides a method of manufacturing a semiconductor device, comprising forming an electrode pattern made of silicon on a gate insulating film in an n-MOS region and a p-MOS region of a semiconductor substrate, masking the n-MOS region including the first electrode pattern with a first insulating film pattern, forming a first metal film made of platinum all over the surface, forming a gate electrode consisting of a platinum silicide in the p-MOS region, forming an silicon oxide film on the surface of the gate electrode by oxidation, dissolving away a non-reacting Pt film, removing the first insulating film pattern, masking the p-MOS region including the electrode pattern with a second insulating film pattern, forming a second metal film made of europium all over the surface, and forming a gate electrode consisting of a europium silicide in the n-MOS region.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: December 16, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuaki Nakajima, Atsushi Yagishita
  • Patent number: 7446027
    Abstract: A method for forming a gate structure with a pulled-back conductive layer and the use of the method are provided. The method conducts a local, not global, pull-back process on the conductive layer of the gate structure at the position intended for contact window formation, wherein the pull-back process is conducted after rapid thermal oxidation to prevent CBCB short, CB open and/or CBGC short.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: November 4, 2008
    Assignee: Promos Technologies Inc.
    Inventor: Chiang Yuh Ren
  • Publication number: 20080268631
    Abstract: A method for fabricating a semiconductor device includes forming a silicided gate utilizing a CMP stack. The CMP stack includes a first liner formed over the underlying semiconductor device and a first dielectric layer formed over the first liner layer. The first dielectric layer is formed to approximately the height of the gate. A second liner layer is formed over the first dielectric layer. Since the first dielectric layer is formed to approximately the height of the gate, the second liner over the moat regions is at approximately the height of the first liner over the gate. A CMP process is performed to expose the first liner over the top of the gate. Since the first dielectric layer is formed to the height of the gate, a portion of the second liner remains over the moat regions after the CMP process. Afterwards, the gate is exposed and a silicidation is performed to create a silicided gate.
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Inventors: Frank Scott Johnson, Freidoon Mehrad
  • Publication number: 20080237743
    Abstract: A method for making PMOS and NMOS transistors 60, 70 on a semiconductor substrate includes having a gate hardmask over the gate electrode layer during the formation of transistor source/drain regions. The method includes an independent work function adjustment process that implants Group IIIa series dopants into a gate polysilicon layer of a PMOS transistor and implants Lanthanide series dopants into a gate polysilicon layer of NMOS.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 2, 2008
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Manfred Ramin, Michael Pas
  • Patent number: 7413968
    Abstract: A silicon film is formed on a first region and a second region, respectively of a semiconductor substrate; P-type impurities are selectively ion-implanted into the silicon film in the first region; a first annealing is carried out, thereby the P-type impurities implanted in the silicon film are activated; N-type impurities are selectively ion-implanted into the silicon film in the second region, after the first annealing; a silicide film is formed on the silicon film according to a CVD method, after the ion-implantation of the N-type impurities; a second annealing is carried out, thereby gas contained in the silicide film is discharged and the N-type impurities are activated; a barrier metal film and a metal film are formed in this order on the silicide film; and the metal film, the barrier metal film, the silicide film and the silicon film are patterned, thereby a P-type polymetal gate electrode formed in the first region and an N-type polymetal gate electrode formed in the second region.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: August 19, 2008
    Assignee: Elpida Memory, Inc.
    Inventor: Kanta Saino
  • Publication number: 20080070358
    Abstract: Manufacturing of semiconductor device includes forming, at substrate main surface, PMOS and NMOS regions separated by PN film. Polysilicon is formed at surface. First insulating film serves as gate insulating film. Second insulating film is formed on polysilicon surface, in gate electrode region extending from PMOS to NMOS regions across PN film. Polysilicon layer is patterned with second insulating film, and first gate electrode extends from PMOS region surface to PN and second gate electrode extends from NMOS region to PN connecting to first gate electrode. At main surface, opposed first source and drain regions are formed by placing first gate electrode therebetween in plan view. At main surface, opposed second source and drain regions are formed by placing second gate electrode therebetween in plan view. The second insulating film, covering first and second gate electrodes is patterned and exposed on PN. Exposed first and second gate electrodes are silicidized.
    Type: Application
    Filed: October 31, 2007
    Publication date: March 20, 2008
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventor: Motoi Ashida
  • Patent number: 7344934
    Abstract: A CMOS device with transistors having different gate dielectric materials and a method of manufacture thereof. An aluminum-based material is used as a gate dielectric material of a PMOS device, and a hafnium-based material is used as a gate dielectric material of an NMOS device. A thin layer of silicon a few monolayers or a sub-monolayer thick is formed over the gate dielectric materials, before forming the gates. The thin layer of silicon bonds with the gate dielectric material and pins the work function of the transistors. A gate material that may comprise a metal in one embodiment is deposited over the thin layer of silicon. A CMOS device having a symmetric Vt for the PMOS and NMOS FETs is formed.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: March 18, 2008
    Assignee: Infineon Technologies AG
    Inventor: Hong-Jyh Li
  • Patent number: 7344984
    Abstract: A method and a semiconductor device are provided in which respective contact layers having a specific intrinsic stress may be directly formed on respective metal silicide regions without undue metal silicide degradation during an etch process for removing an unwanted portion of an initially deposited contact layer. Moreover, due to the inventive concept, the strain-inducing contact layers may be formed directly on the respective substantially L-shaped spacer elements, thereby enhancing even more the stress transfer mechanism.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: March 18, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jan Hoentschel, Andy Wei, Markus Lenski, Peter Javorka
  • Patent number: 7326610
    Abstract: Silicide is introduced into the gate region of a CMOS device through different process options for both conventional and replacement gate types processes. Placement of silicide in the gate itself, introduction of the silicide directly in contact with the gate dielectric, introduction of the silicide as a fill on top of a metal gate all ready in place, and introduction the silicide as a capping layer on polysilicon or on the existing metal gate, are presented. Silicide is used as an option to connect between PFET and NFET devices of a CMOS structure. The processes protect the metal gate while allowing for the source and drain silicide to be of a different silicide than the gate silicide. A semiconducting substrate is provided having a gate with a source and a drain region. A gate dielectric layer is deposited on the substrate, along with a metal gate layer. The metal gate layer is then capped with a silicide formed on top of the gate, and conventional formation of the device then proceeds.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: February 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Ricky S. Amos, Douglas A. Buchanan, Cyril Cabral, Jr., Evgeni P. Gousev, Victor Ku, An Steegen
  • Patent number: 7314789
    Abstract: A semiconductor structure and method that is capable of generating a local mechanical gate stress for channel mobility modification are provided. The semiconductor structure includes at least one NFET and at least one PFET on a surface of a semiconductor substrate. The at least one NFET has a gate stack structure comprising a gate dielectric, a first gate electrode layer, a barrier layer, a Si-containing second gate electrode layer and a compressive metal, and the at least one PFET has a gate stack structure comprising a gate dielectric, a first gate electrode layer, a barrier layer and a tensile metal or a silicide.
    Type: Grant
    Filed: December 30, 2006
    Date of Patent: January 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Bruce B. Doris, Thomas S. Kanarsky, Xiao H. Liu, Huilong Zhu
  • Patent number: 7282402
    Abstract: According to the embodiments to the present disclosure, the process of making a dual strained channel semiconductor device includes integrating strained Si and compressed SiGe with trench isolation for achieving a simultaneous NMOS and PMOS performance enhancement. As described herein, the integration of NMOS and PMOS can be implemented in several ways to achieve NMOS and PMOS channels compatible with shallow trench isolation.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: October 16, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mariam G. Sadaka, Alexander L. Barr, Dejan Jovanovic, Bich-Yen Nguyen, Voon-Yew Thean, Shawn G. Thomas, Ted R. White
  • Patent number: 7271455
    Abstract: An advanced gate structure that includes a fully silicided metal gate and silicided source and drain regions in which the fully silicided metal gate has a thickness that is greater than the thickness of the silicided source/drain regions is provided. A method of forming the advanced gate structure is also provided in which the silicided source and drain regions are formed prior to formation of the silicided metal gate region.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: September 18, 2007
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Chester T. Dziobkowski, Sunfei Fang, Evgeni Gousev, Rajarao Jammy, Vijay Narayanan, Vamsi Paruchuri, Ghavam G. Shahidi, Michelle L. Steen, Clement H. Wann
  • Patent number: 7226827
    Abstract: The invention relates to a method for fabricating a semiconductor device having a semiconductor body that comprises a first semiconductor structure having a dielectric layer and a first conductor, and a second semiconductor structure having a dielectric layer and a second conductor, that part of the first conductor which adjoins the dielectric layer having a work function different from the work function of the corresponding part of the second conductor. In one embodiment of the invention, after the dielectric layer has been applied to the semiconductor body, a metal layer is applied to the said dielectric layer, and then a silicon layer is deposited on the metal layer and is brought into reaction with the metal layer at the location of the first semiconductor structure, forming a metal silicide.
    Type: Grant
    Filed: October 18, 2004
    Date of Patent: June 5, 2007
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), Koninklijke Philips Electronics N.V.
    Inventors: Tom Schram, Jacob Christopher Hooker, Marcus Johannes Henricus van Dal
  • Patent number: 7217624
    Abstract: The present invention relates to a non-volatile memory device having conductive sidewall spacers and a method for fabricating the same. The non-volatile memory device includes: a substrate; a gate insulation layer formed on the substrate; a gate structure formed on the gate insulation layer; a pair of sidewall spacers formed on sidewalls of the gate structure; a pair of conductive sidewall spacers for trapping/detrapping charges formed on the pair of sidewall spacers; a pair of lightly doped drain regions formed in the substrate disposed beneath the sidewalls of the gate structure; and a pair of source/drain regions formed in the substrate disposed beneath edge portions of the pair of conductive sidewall spacers.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: May 15, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Yong Lim, Heung-Jae Cho, Yong-Soo Kim, Se-Aug Jang, Hyun-Chul Sohn
  • Patent number: 7208409
    Abstract: Fluorine containing regions (70) are formed in the source and drain regions (60) of the MOS transistor. A metal layer (90) is formed over the fluorine containing regions (70) and the source and drain regions (60). The metal layer is reacted with the underlying fluorine containing regions to form a metal silicide.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: April 24, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Duofeng Yue, Xiaozhan Liu, Donald S. Miles, Lance S. Robertson
  • Patent number: 7195969
    Abstract: A strained channel NMOS and PMOS device pair including fully silicided gate electrodes and method for forming the same, the method including providing a semiconductor substrate including NMOS and PMOS device regions including respective gate structures including polysilicon gate electrodes; forming recessed regions on either side of a channel region including at least one of the NMOS and PMOS device regions; backfilling portions of the recessed regions with a semiconducting silicon alloy to exert a strain on the channel region; forming offset spacers on either side of the gate structures; thinning the polysilicon gate electrodes to a silicidation thickness to allow full metal silicidation through the silicidation thickness; ion implanting the polysilicon gate electrodes to adjust a work function; and, forming a metal silicide through the silicidation thickness to form metal silicide gate electrodes.
    Type: Grant
    Filed: December 31, 2004
    Date of Patent: March 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Bor-Wen Chan, Yuan-Hung Chiu, Han-Jan Tao
  • Patent number: 7195983
    Abstract: A non-volatile memory (NVM) has a silicon germanium (SiGe) drain and a silicon carbon (SiC) source. The source being SiC provides for a stress on the channel that improves N channel mobility. The SiC also has a larger bandgap than the substrate, which is silicon. This results in it being more difficult to generate electron/hole pairs by impact ionization. Thus, it can be advantageous to use the SiC region for the drain during a read. The SiGe is used as the drain for programming and erase. The SiGe, having a smaller bandgap than the silicon substrate results in improved programming by generating electron/hole pairs by impact ionization and improved erasing by generating electron hole/pairs by band-to-band tunneling, both at lower voltage levels.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: March 27, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gowrishankar L. Chindalore, James David Burnett, Craig T. Swift, Ramachandran Muralidhar
  • Patent number: 7192823
    Abstract: A manufacturing method for a transistor of an ESD protection device. First, the method forms basic elements on a semiconductor base. Next, a patterned resist layer is used as a mask to perform ion implantation in the emerged drain region so that the dopant can be implanted into the semiconductor base under the drain region to form an extended drain heavy-doped region. Then, the patterned resist layer is removed and a heat tempering processing is performed. Finally, a self-aligned salicide is formed on the surfaces of the polysilicon gate and the heavy-ion doped region. The invention utilizes an extended drain heavy-doped region as a resistance ballast between the drain contact and the polysilicon contact surface, which allows high current generated by ESD to be discharged in a more homogeneous way so as to prevent the ESD structure from being damaged.
    Type: Grant
    Filed: August 16, 2004
    Date of Patent: March 20, 2007
    Assignee: Grace Semiconductor Manufacturing Corporation
    Inventor: Jung-Cheng Kao
  • Patent number: 7179714
    Abstract: There is provided a method of fabricating a MOS transistor having a fully silicided gate, including forming a gate pattern and gate spacers on a semiconductor substrate, the gate pattern including a lower gate pattern, an insulating layer pattern, and an upper gate pattern, which are sequentially stacked. Source/drain regions are formed by implanting impurity ions into an active region using the gate pattern and the gate spacers as ion implantation masks. Then, a protecting layer is formed on the semiconductor substrate having the gate pattern, and the protecting layer is planarized until the upper gate pattern is exposed. Then, by removing the exposed upper gate pattern and the insulating layer pattern, the lower gate pattern is exposed. Then, the protecting layer is selectively removed, thereby exposing the source/drain regions. The exposed lower gate pattern is fully converted to a gate silicide layer, and a silicide layer is concurrently formed on the surfaces of the source/drain regions.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-Jean Chang, Myoung-Hwan Oh, Hee-Sung Kang, Choong-Ryul Ryou
  • Patent number: 7172967
    Abstract: The present invention provides methods for forming cobalt silicide layers, including introducing a vaporized cobalt precursor onto a silicon substrate to form a cobalt layer. The vaporized cobalt precursor has the formula Co2(CO)6(R1—C?C—R2), wherein R1 is H or CH3, and R2 is H, t-butyl, methyl or ethyl. The silicon substrate is thermally treated so that silicon is reacted with cobalt to form a cobalt silicide layer. Methods for manufacturing semiconductor devices including the cobalt silicide layers described herein and such devices are also provided.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: February 6, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Su Kim, Gil-Heyun Choi, Sang-Bom Kang, Woong-Hee Sohn, Jong-Ho Yun, Kwang-Jin Moon
  • Patent number: 7118954
    Abstract: A method for fabricating metal-oxide-semiconductor devices is provided. The method includes forming a gate dielectric layer on a substrate; depositing a polysilicon layer on the gate dielectric layer; forming a resist mask on the polysilicon layer; etching the polysilicon layer not masked by the resist mask, thereby forming a gate electrode; etching a thickness of the gate dielectric layer not covered by the gate electrode; stripping the resist mask; forming a salicide block resist mask covering the gate electrode and a portions of the remaining gate dielectric layer; etching away the remaining gate dielectric layer not covered by the salicide block resist mask, thereby exposing the substrate and forming a salicide block lug portions on two opposite sides of the gate electrode; and making a metal layer react with the substrate, thereby forming a salicide layer that is kept a distance “d” away from the gate electrode.
    Type: Grant
    Filed: May 26, 2005
    Date of Patent: October 10, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Ming Lin, Ming-Tsung Tung, Chin-Hung Liu
  • Patent number: 7105429
    Abstract: A method inhibits metal silicide encroachment in channel regions in a transistor that uses metal silicide as an electrical contact to its terminals. A metal layer is deposited overlying the transistor. A first anneal that is a low temperature anneal forms metal silicide regions to source, gate and drain terminals of the transistor. The low temperature inhibits lateral encroachment. Unsilicided portions of the metal are removed and followed by an ion implant of an element, such as nitrogen, that diffuses into the metal silicide regions. A second anneal at a higher temperature than the first anneal is completed wherein the implanted nitrogen ions prevent lateral encroachment of metal silicide.
    Type: Grant
    Filed: March 10, 2004
    Date of Patent: September 12, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Dharmesh Jawarani