Marks Applied To Semiconductor Devices Or Parts, E.g., Registration Marks, Test Patterns, Alignment Structures, Wafer Maps (epo) Patents (Class 257/E23.179)
  • Patent number: 8836100
    Abstract: An arrangement for improving adhesive attachment of micro-components in an assembly utilizes a plurality of parallel-disposed slots formed in the top surface of the substrate used to support the micro-components. The slots are used to control the flow and “shape” of an adhesive “dot” so as to quickly and accurately attach a micro-component to the surface of a substrate. The slots are formed (preferably, etched) in the surface of the substrate in a manner that lends itself to reproducible accuracy from one substrate to another. Other slots (“channels”) may be formed in conjunction with the bonding slots so that extraneous adhesive material will flow into these channels and not spread into unwanted areas.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: September 16, 2014
    Assignee: Cisco Technology, Inc.
    Inventors: Mary Nadeau, Vipulkumar Patel, Prakash Gothoskar, John Fangman, John Matthew Fangman, Mark Webster
  • Patent number: 8829661
    Abstract: Methods and apparatus are provided for an electronic panel assembly (EPA) (82, 83), comprising: providing one or more electronic devices (30) with primary faces (31) having electrical contacts (36), opposed rear faces (33) and edges (32) therebetween. The devices (30) are mounted primary faces (31) down on a temporary support (60) in openings (44) in a warp control sheet (WCS) (40) attached to the support (60). Plastic encapsulation (50) is formed at least between lateral edges (32, 43) of the devices (30) and WCS openings (44). Undesirable panel warping (76) during encapsulation is mitigated by choosing the WCS coefficient of thermal expansion (CTE) to be less than the encapsulation CTE. After encapsulation cure, the EPA (82) containing the devices (30) and the WCS (40) is separated from the temporary support (60) and, optionally, mounted on another carrier (70) with electrical contacts (36) exposed.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: September 9, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: William H. Lytle, Scott M. Hayes, George R. Leal
  • Patent number: 8822343
    Abstract: An overlay mark suitable for use in manufacturing nonplanar circuit devices and a method for forming the overlay mark are disclosed. An exemplary embodiment includes receiving a substrate having an active device region and an overlay region. One or more dielectric layers and a hard mask are formed on the substrate. The hard mask is patterned to form a hard mask layer feature configured to define an overlay mark fin. Spacers are formed on the patterned hard mask layer. The spacers further define the overlay mark fin and an active device fin. The overlay mark fin is cut to form a fin line-end used to define a reference location for overlay metrology. The dielectric layers and the substrate are etched to further define the overlay mark fin.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Wen Hsieh, Chi-Kang Chang, Chia-Chu Liu, Meng-Wei Chen, Kuei-Shun Chen
  • Patent number: 8816707
    Abstract: A misalignment detection device comprising a first substrate, at least one integrated circuit, a second substrate, a third substrate, and at least one detection unit. The at least one integrated is disposed on the first substrate in a first pressing region. The third substrate is disposed on the first substrate in a second pressing region and on the second substrate on the second substrate in a third pressing region. The at least one detection unit outputs a fault signal in response to a positioning shift occurring in at least one of the first, second, and third pressing regions.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: August 26, 2014
    Assignee: Au Optronics Corp.
    Inventors: Chun-Yu Lee, Shih-Ping Chou, Chien-Liang Chen, Wen-Hung Lai
  • Patent number: 8810048
    Abstract: An embodiment integrated circuit includes a first device supporting a first back end of line layer, the first back end of line layer including a first alignment marker, and a second device including a spin-on glass via and supporting a second back end of line layer, the second back end of line layer including a second alignment marker, the spin-on glass via permitting the second alignment marker to be aligned with the first alignment marker using ultraviolet light.
    Type: Grant
    Filed: September 19, 2012
    Date of Patent: August 19, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Hsun-Chung Kuang
  • Patent number: 8796088
    Abstract: A semiconductor device and a method of fabricating the semiconductor device is provided. In the method, a semiconductor substrate defining a device region and an outer region at a periphery of the device region is provided, an align trench is formed in the outer region, a dummy trench is formed in the device region, an epi layer is formed over a top surface of the semiconductor substrate and within the dummy trench, a current path changing part is formed over the epi layer, and a gate electrode is formed over the current path changing part. When the epi layer is formed, a current path changing trench corresponding to the dummy trench is formed over the epi layer, and the current path changing part is formed within the current path changing trench.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: August 5, 2014
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chul Jin Yoon
  • Patent number: 8796840
    Abstract: A semiconductor assembly includes a first subassembly comprising a heat sink and a first patterned polymer layer disposed on a surface of the heat sink to define an exposed portion of the first surface. The exposed portion of the first surface extends radially inward along the heat sink surface from the first layer. The subassembly also includes a second patterned polymer layer disposed on a radially outer portion of the first patterned polymer layer. The first and second layers define a cell for accommodating a power semiconductor die. Solder material is disposed on the exposed portion of the heat sink surface and in the cell. A power semiconductor die is located within the cell on a radially inward portion of the first layer and thermally coupled to the heat sink by the solder material.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: August 5, 2014
    Assignee: Vishay General Semiconductor LLC
    Inventors: Wan-Lan Chiang, Kuang Hann Lin, Chih-Ping Peng
  • Patent number: 8786054
    Abstract: A method and device for pattern alignment are disclosed. The device can include an exposure field; a die within the exposure field, wherein the die comprises an integrated circuit region, a seal ring region, and a corner stress relief region; and a die alignment mark disposed between the seal ring region and the corner stress relief region.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: July 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Chyi Harn, Sophia Wang, Chun-Hung Lin, Hsien-Wei Chen, Ming-Yen Chiu
  • Patent number: 8786112
    Abstract: A semiconductor device includes a die pad including a first surface and a second surface opposite to the first surface, a first chip arranged in a first area on the first surface, the first chip including a first side and a second side crossing to the first side, a second chip arranged in a second area on the first surface, the second chip including a third side and a fourth side crossing to the third side, a plurality of first marks formed on the first surface, the first marks including a third mark and a fourth mark, a plurality of second marks formed on the first surface, the second marks including a fifth mark and sixth mark. The semiconductor device also includes a wire and a resin encapsulating the first chip, the second chip, and the wire.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: July 22, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Kenji Nishikawa
  • Patent number: 8778779
    Abstract: A method for producing semiconductor device includes: performing first, second and third exposures of a photoresist film formed on a semiconductor wafer via a mask; wherein: first, second and third shot regions respectively defined by the first, second and third exposures are aligned in a first direction; the mask has a shot region including a peripheral scribe region having a first and second side crossing the first direction; the photoresist film is of positive type, a first pattern is formed as a light shielding pattern disposed on the first side, and a second pattern is formed as a light transmitting region disposed on the second side; the first and second exposures are performed in such a manner that the first and second patterns do not overlap each other; and the second and third exposures are performed in such a manner that the first and second patterns overlap each other.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: July 15, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Mitsufumi Naoe
  • Patent number: 8779556
    Abstract: Devices and methods for pattern alignment are disclosed. In one embodiment, a semiconductor device includes a die including an integrated circuit region, an assembly isolation region around the integrated circuit region, and a seal ring region around the assembly isolation region. The device further includes a die alignment mark disposed within the seal ring region or the assembly isolation region.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: July 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Hsien-Wei Chen
  • Patent number: 8778748
    Abstract: A method for manufacturing a semiconductor device includes forming a source electrode and a drain electrode on a front face of a semiconductor substrate which is transparent to visible light, forming a front-side gate electrode between the source electrode and the drain electrode on the front face of the semiconductor substrate; forming an aligning mark on a region of the front face of the semiconductor substrate other than a region between the source electrode and the drain electrode, aligning the semiconductor substrate based on the aligning mark that is seen through the semiconductor substrate, and forming a back-side gate electrode on a back face of the semiconductor substrate in a location opposite the front-side gate electrode.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: July 15, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventor: Yoshitaka Kamo
  • Patent number: 8772125
    Abstract: A method of double-sided patterning including positioning a first silicon wafer with its back side facing upwards and forming one or more deep trenches serving as alignment marks on the back side of the first silicon wafer; performing alignment with respect to the alignment marks and forming a back-side pattern on the first silicon wafer; depositing a polishing stop layer on the back side of the first silicon wafer; flipping over the first silicon wafer and bonding its back side with the front side of a second silicon wafer; polishing the front side of the first silicon wafer to expose the alignment marks from the front side; performing alignment with respect to the alignment marks and forming a front-side pattern on the first silicon wafer; removing the second silicon wafer and the polishing stop layer to obtain a double-sided patterned structure on the first silicon wafer.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: July 8, 2014
    Assignee: Shanghai Hua Hong Nec Electronics Co., Ltd.
    Inventors: Lei Wang, Xiaobo Guo
  • Patent number: 8766407
    Abstract: According to one embodiment, a semiconductor wafer includes a semiconductor substrate and an interconnect layer formed on the semiconductor substrate. In the semiconductor wafer, the semiconductor substrate includes a first region that is located on the outer periphery side of the semiconductor substrate and that is not covered with the interconnect layer. The interconnect layer includes a second region where the upper surface of the interconnect layer is substantially flat. A first insulating film is formed in the first region. The upper surface of the interconnect layer within the second region and the upper surface of the first insulating film substantially flush with each other.
    Type: Grant
    Filed: February 3, 2012
    Date of Patent: July 1, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mitsuyoshi Endo
  • Patent number: 8766257
    Abstract: A test pad structure in a back-end-of-line metal interconnect structure is formed by repeated use of the same mask set, which includes a first line level mask, a first via level mask, a second line level mask, and a second via level mask. The test pad structure includes a two-dimensional array of test pads such that a first row is connected to a device macro structure in the same level, and test pads in another row are electrically connected to another device macro structure of the same design at an underlying level. The lateral shifting of electrical connection among pads located at different levels is enabled by lateral extension portions that protrude from pads and via structures that contact the lateral extension portions. This test pad structure includes more levels of testable metal interconnect structure than the number of used lithographic masks.
    Type: Grant
    Filed: September 8, 2012
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventor: Gerald Matusiewicz
  • Patent number: 8759948
    Abstract: An object to be processed 1 comprising a substrate 4 and a plurality of functional devices 15 formed on a front face 3 of the substrate 4 is irradiated with laser light L while locating a converging point P within the substrate 4, so as to form at least one row of a divided modified region 72, at least one row of a quality modified region 71 positioned between the divided modified region 72 and the front face 3 of the substrate 4, and at least one row of an HC modified region 73 positioned between the divided modified region 72 and a rear face 21 of the substrate 4 for one line to cut 5. Here, in a direction along the line to cut, a forming density of the divided modified region 72 is made lower than that of the quality modified region 71 and that of the HC modified region 73.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: June 24, 2014
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Takeshi Sakamoto, Kenichi Muramatsu
  • Patent number: 8754504
    Abstract: A thinning method of a wafer is provided. The method includes the following steps. First, a wafer having a first surface, a second surface, and a side surface is provided, and the side surface is connected between the first surface and the second surface. At least one semiconductor device is formed on the first surface. Then, an anisotropy etching process is performed to the second surface with a mask to remove portions of the wafer while remaining the side surface thereby forming a number of grooves in the second surface and at least one reinforcing wall between the grooves. As a result, a thinned wafer is obtained.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: June 17, 2014
    Assignee: United Microelectronics Corporation
    Inventors: Chang-Sheng Hsu, Kuo-Yuh Yang, Kuo-Hsiung Huang, Yan-Da Chen, Chia-Wen Lien
  • Patent number: 8742547
    Abstract: A semiconductor wafer includes: a first semiconductor chip area formed with a semiconductor element; a second semiconductor chip area formed with a semiconductor element; and a scribe area sandwiched between the first and second semiconductor chip areas; wherein: the first semiconductor chip area includes a first metal ring surrounding the semiconductor element formed in the first semiconductor chip area; and the metal ring is constituted of a plurality of metal layers including a lower metal layer and an upper metal layer superposed upon the lower metal layer, and the upper metal layer is superposed upon the lower metal layer in such a manner that an outer side wall of the upper metal layer is flush with the outer side wall of the lower metal layer or is at an inner position of the first semiconductor chip area relative to the outer side wall of the lower metal layer.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: June 3, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kazutaka Yoshizawa, Taiji Ema
  • Patent number: 8736082
    Abstract: In various embodiments, an assembly having a microstructure is provided, the device includes a cylindrical capture receptacle associated with a substrate, the capture receptacle comprising of a material having an expansion coefficient and comprising alignment structures having alignment projections extending inward from a periphery of the cylindrical capture receptacle. In one embodiment, the projections include a large width alignment projection and plurality of small width alignment projections. A plurality of medium width alignment projections also may be provided. A cylindrical key is associated with the microstructure and has a smaller circumference than the cylindrical capture receptacle and is comprised of a material having an expansion coefficient greater than the expansion coefficient of the cylindrical capture receptacle. The cylindrical key includes alignment receptacles spaced about a periphery of the cylindrical base to receive corresponding alignment projections.
    Type: Grant
    Filed: October 25, 2008
    Date of Patent: May 27, 2014
    Assignee: HRL Laboratories, LLC
    Inventor: Peter D. Brewer
  • Publication number: 20140124899
    Abstract: A method of forming a wafer level packaged circuit device includes forming a device wafer, the device wafer including a first group of one or more material layers left remaining in a first region of a substrate of the device wafer; and forming a cap wafer configured to be attached to the device wafer, the cap wafer including a second group of one or more material layers left remaining in a second region of a substrate of the cap wafer; wherein a combined thickness of the first and second groups of one or more material layers defines an integrated bond gap control structure upon bonding of the device wafer and the cap wafer.
    Type: Application
    Filed: November 2, 2012
    Publication date: May 8, 2014
    Applicant: RAYTHEON COMPANY
    Inventors: Roland Gooch, Buu Diep, Thomas Allan Kocian, Stephen H. Black, Adam M. Kennedy
  • Publication number: 20140111234
    Abstract: In various embodiments, a die is provided. The die may include a physical unclonable function circuit configured to provide an output signal, wherein the output signal is dependent on at least one physical characteristic specific to the die; and a self-test circuit integrated with the physical unclonable function circuit on the die, wherein the self-test circuit is configured to provide at least one test input signal to the physical unclonable function circuit and to determine as to whether the output signal provided in response to the at least one test input signal fulfills a predefined criterion.
    Type: Application
    Filed: October 22, 2012
    Publication date: April 24, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Peter Laackmann, Marcus Janke
  • Patent number: 8704224
    Abstract: A resistive test structure that includes a semiconductor substrate with an active region, a gate stack formed over the active region, a first electrical contact in communication with the active region on opposing sides of the gate stack, the first electrical contact providing an electrical short across a first dimension of the gate stack, and a second electrical contact in communication with the active region on the opposing sides of the gate stack, the second electrical contact providing an electrical short across the first dimension of the gate stack, the first and second electrical contacts spaced along a second dimension of the gate stack perpendicular to the first dimension.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: An-Chun Tu, Chen-Ming Huang, Chih-Jen Wu, Chin-Hsiang Lin
  • Publication number: 20140103902
    Abstract: A semiconductor package includes a power semiconductor chip having a control electrode, a first load electrode and a second load electrode. The package also includes a first terminal conductor electrically coupled to the control electrode, a second terminal conductor electrically coupled to the first load electrode and a third terminal conductor electrically coupled to the second load electrode. Further, the package includes a temperature sensor electrically coupled to at least two of the first, second and third terminal conductor.
    Type: Application
    Filed: October 11, 2012
    Publication date: April 17, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Ralf Otremba, Marco Seibt
  • Publication number: 20140103495
    Abstract: A wafer in accordance with various embodiments may include: at least one metallization structure including at least one opening; and at least one separation line region along which the wafer is to be diced, wherein the at least one separation line region intersects the at least one opening.
    Type: Application
    Filed: October 15, 2012
    Publication date: April 17, 2014
    Applicant: Infineon Technologies AG
    Inventors: Gunther Mackh, Gerhard Leschik, Maria Heidenblut
  • Patent number: 8692392
    Abstract: A wafer is disclosed. The wafer comprises a plurality of chips and a plurality of kerfs. A kerf of the plurality of kerfs separates one chip from another chip. The kerf comprises a crack stop barrier.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: April 8, 2014
    Assignee: Infineon Technologies AG
    Inventor: Sylvia Baumann Winter
  • Patent number: 8692393
    Abstract: Better alignment mark designs for semiconductor devices may substantially lessen the frequency of layer misalignment scanner alignment problems. Exemplary alignment mark designs substantially avoid or minimize damage during the fill-in and etching and chemical mechanical processing processes. Thus, additional processing steps to even out various layers or to address the misalignment problems may also be avoided.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: April 8, 2014
    Assignee: Macronix International Co., Ltd.
    Inventor: Feng-Nien Tsai
  • Patent number: 8692245
    Abstract: The present invention in a first aspect proposes a semiconductor structure with a crack stop structure. The semiconductor structure includes a matrix, an integrated circuit and a scribe line. The matrix includes a scribe line region and a circuit region. The integrated circuit is disposed within the circuit region. The scribe line is disposed within the scribe line region and includes a crack stop trench which is disposed in the matrix and adjacent to the circuit region. The crack stop trench is parallel with one side of the circuit region and filled with a composite material in the form of a grid to form a crack stop structure.
    Type: Grant
    Filed: August 21, 2011
    Date of Patent: April 8, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Tse-Yao Huang, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20140092573
    Abstract: In one embodiment, a load frame and an integrated circuit device are aligned, with a base frame carried on a substrate, along a first alignment axis defined by a first alignment post extending from the base frame to the load frame, in a direction transverse to the substrate, and a first biasing device carried on the base frame is actuated to engage and bias the load frame toward the base frame aligned with the load frame, and to bias the integrated circuit toward the substrate. A latch latches the load and base frames together, aligned with and biased towards each other with the integrated circuit device and the substrate aligned with, and biased toward each other. Other aspects and features are also described.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Inventors: David J. LLAPITAN, Neal E. ULEN, Jeffory L. SMALLEY
  • Publication number: 20140092939
    Abstract: Some embodiments of the present disclosure relate to a stacked integrated chip structure having a thermal sensor that detects a temperature of one or a plurality of integrated chips. In some embodiments, the stacked integrated chip structure has a main integrated chip and a secondary integrated chip located on an interposer wafer. The main integrated chip has a reference voltage source that generates a bias current. The secondary integrated chip has a second thermal diode that receives the bias current and based thereupon generates a second thermal sensed voltage and a second reference voltage that is proportional to a temperature of the secondary integrated chip. A digital thermal sensor within the main integrated chip determines a temperature of the secondary integrated chip based upon as comparison of the second thermal sensed voltage and the reference voltage.
    Type: Application
    Filed: October 1, 2012
    Publication date: April 3, 2014
    Inventors: Ching-Ho Chang, Jui-Cheng Huang, Yung-Chow Peng
  • Patent number: 8680653
    Abstract: A wafer includes a plurality of chips, each of the chips being spaced from each other by kerf-line regions including a reduced width.
    Type: Grant
    Filed: November 12, 2007
    Date of Patent: March 25, 2014
    Assignee: Infineon Technologies AG
    Inventors: Giuseppe Miccoli, Bhaskaran Jayachandran, Friedrich Steffen, Alfred Vater
  • Publication number: 20140077320
    Abstract: A wafer includes a plurality of chips arranged as rows and columns. A first plurality of scribe lines is between the rows of the plurality of chips. Each of the first plurality of scribe lines includes a metal-feature containing scribe line comprising metal features therein, and a metal-feature free scribe line parallel to, and adjoining, the metal-feature containing scribe line. A second plurality of scribe lines is between the columns of the plurality of chips.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 20, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: U-Ting Chen, Dun-Nian Yaung, Jen-Cheng Liu, Feng-Chi Hung, Jeng-Shyan Lin, Shuang-Ji Tsai
  • Publication number: 20140077341
    Abstract: An integrated circuit (IC) and a seal ring thereof are provided. The IC includes a first seal ring. The first seal ring is disposed in the IC. The first seal ring includes at least one stagger structure. The at least one stagger structure includes at least one stagger unit. The at least one stagger unit makes staggered connection with another neighboring stagger unit.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 20, 2014
    Applicant: VIA TELECOM, INC.
    Inventors: Bing-Jye Kuo, Hong-Wen Lin, Yu-Jie Ji
  • Patent number: 8673659
    Abstract: The semiconductor device includes a process monitoring pattern and an input/output (I/O) pad array area, the process monitoring pattern including a lower layer having a peripheral area surrounding a first internal area, the first internal area exposed by an internal open area, an external structure on the peripheral area of the lower layer, and a first dam disposed in the peripheral area spaced apart from the external structure by an external open area, the first dam defining the first internal area. The peripheral area overlaps the input/output (I/O) pad array area of the semiconductor device.
    Type: Grant
    Filed: April 12, 2013
    Date of Patent: March 18, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Dong-Hyun Han
  • Patent number: 8674523
    Abstract: The present invention relates generally to assembly techniques. According to the present invention, the alignment and probing techniques to improve the accuracy of component placement in assembly are described. More particularly, the invention includes methods and structures to detect and improve the component placement accuracy on a target platform by incorporating alignment marks on component and reference marks on target platform under various probing techniques. A set of sensors grouped in any array to form a multiple-sensor probe can detect the deviation of displaced components in assembly.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: March 18, 2014
    Assignee: Wintec Industries, Inc.
    Inventor: Kong-Chen Chen
  • Patent number: 8673744
    Abstract: A disclosed wiring substrate includes an insulating layer, a recess formed on a surface of the insulating layer, and an alignment mark formed inside of the recess, wherein a face of the alignment mark is roughened, recessed from the surface of the insulating layer, and exposed from the recess.
    Type: Grant
    Filed: June 6, 2013
    Date of Patent: March 18, 2014
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Junichi Nakamura, Kazuhiro Kobayashi
  • Patent number: 8674355
    Abstract: A device includes a test unit in a die. The test unit includes a physical test region including an active region, and a plurality of conductive lines over the active region and parallel to each other. The plurality of conductive lines has substantially a uniform spacing, wherein no contact plugs are directly over and connected to the plurality of conductive lines. The test unit further includes an electrical test region including a transistor having a gate formed of a same material, and at a same level, as the plurality of conductive lines; and contact plugs connected to a source, a drain, and the gate of the transistor. The test unit further includes an alignment mark adjacent the physical test region and the electrical test region.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: March 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huan-Chi Tseng, Heng-Hsin Liu, Shu-Cheng Kuo, Chien-Chang Lee, Chun-Hung Lin
  • Patent number: 8673668
    Abstract: When forming critical threshold adjusting semiconductor alloys and/or strain-inducing embedded semiconductor materials in sophisticated semiconductor devices, at least the corresponding etch processes may be monitored efficiently on the basis of mechanically gathered profile measurement data by providing an appropriately designed test structure. Consequently, sophisticated process sequences performed on bulk semiconductor devices may be efficiently monitored and/or controlled by means of the mechanically obtained profile measurement data without significant delay. For example, superior uniformity upon providing a threshold adjusting semiconductor alloy in sophisticated high-k metal gate electrode structures for non-SOI devices may be achieved.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: March 18, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Maciej Wiatr, Rainer Giedigkeit
  • Patent number: 8669641
    Abstract: The present disclosure provides a method of making an integrated circuit (IC) device. The method includes forming a first IC feature and a second IC feature in a semiconductor substrate, the first and second IC features being spaced from each other and separated by a scribe region; forming, in the semiconductor substrate, a doped routing feature at least partially within the scribe region and configured to connect the first and second IC features; forming a multilayer interconnect (MLI) structure and an interlayer dielectric (ILD) on the semiconductor substrate, wherein the MLI is configured to be absent within the scribe region; and etching the ILD and the semiconductor substrate within the scribe region to form a scribe-line trench.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: March 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Chang Hsieh, Hung-Lin Chen, Hsiu-Mei Yu, Chin Kun Lan, Dong-Lung Lee
  • Publication number: 20140065832
    Abstract: An overlay mark suitable for use in manufacturing nonplanar circuit devices and a method for forming the overlay mark are disclosed. An exemplary embodiment includes receiving a substrate having an active device region and an overlay region. One or more dielectric layers and a hard mask are formed on the substrate. The hard mask is patterned to form a hard mask layer feature configured to define an overlay mark fin. Spacers are formed on the patterned hard mask layer. The spacers further define the overlay mark fin and an active device fin. The overlay mark fin is cut to form a fin line-end used to define a reference location for overlay metrology. The dielectric layers and the substrate are etched to further define the overlay mark fin.
    Type: Application
    Filed: September 4, 2012
    Publication date: March 6, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Wen Hsieh, Chi-Kang Chang, Chia-Chu Liu, Meng-Wei Chen, Kuei-Shun Chen
  • Patent number: 8653669
    Abstract: A semiconductor package including a semiconductor chip; a base member on which the semiconductor chip is mounted; a plurality of leads formed on the base member, the leads including inner ends electrically connected to the semiconductor chip and outer ends; and an index for identifying locations of specific leads.
    Type: Grant
    Filed: April 10, 2013
    Date of Patent: February 18, 2014
    Assignee: Lapis Semiconductor Co., Ltd.
    Inventor: Tae Yamane
  • Patent number: 8648339
    Abstract: A semiconductor device includes a plurality of first data input/output terminals, a plurality of second data input/output terminals, a first semiconductor chip, and a second semiconductor chip. The first semiconductor chip includes a plurality of first data input/output pads connected with the first data input/output terminals, a first test circuit, and a first memory portion. The first test circuit generates a first test result in response to a data output from the first memory portion at a test operation. The second semiconductor chip includes a plurality of second data input/output pads connected with the second data input/output terminals, a second and a third test circuits, and a second memory portion.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: February 11, 2014
    Assignee: Elpida Memory, Inc.
    Inventors: Takahiro Koyama, Sadayuki Okuma
  • Publication number: 20140027771
    Abstract: Various embodiments comprise apparatuses to assign a respective one of a sequence of unique device identification (ID) values to each die in a stacked device. In an embodiment, each die may include a respective assignment device to operate on an input and generate, as an output, the respective one of the sequence of the unique device ID values. Each die may also include a respective evaluation device to detect a total number of dice in the stack. Additional apparatuses and methods are described.
    Type: Application
    Filed: July 26, 2012
    Publication date: January 30, 2014
    Inventor: Yasuo Satoh
  • Patent number: 8629568
    Abstract: A system and method for determining underfill expansion is provided. An embodiment comprises forming cover marks along a top surface of a substrate, attaching a semiconductor substrate to the top surface of the substrate, placing an underfill material between the semiconductor substrate and the substrate, and then using the cover marks to determine the expansion of the underfill over the top surface of the substrate. Additionally, cover marks may also be formed along a top surface of the semiconductor substrate, and the cover marks on both the substrate and the semiconductor substrate may be used together as alignment marks during the alignment of the substrate and the semiconductor substrate.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yan-Fu Lin, Jing-Cheng Lin, Wen-Chih Chiou, Shin-Puu Jeng, Chen-Hua Yu
  • Patent number: 8623772
    Abstract: A method of forming patterns of a semiconductor device includes forming a hard mask layer and a first sacrificial layer over a first region and a second region of a semiconductor substrate, etching the first sacrificial layer to form a first sacrificial pattern having a first width in the first region and second sacrificial patterns having a second width in the second region, wherein the second width is narrower than the first width, forming a first spacer surrounding sidewalls of the first sacrificial pattern and a second spacer surrounding sidewalls of the second sacrificial patterns, removing the first and the second sacrificial patterns; and etching the first and second spacers.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: January 7, 2014
    Assignee: SK Hynix Inc.
    Inventor: Jae Doo Eom
  • Publication number: 20140002822
    Abstract: A device having an overlay mark over a substrate and a method of adjusting multi-layer overlay alignment using the overlay mark for accuracy are disclosed. The overlay mark includes a first feature in a first layer, having a plurality of first alignment segments substantially parallel to each other extending only along an X direction; a second feature in a second layer over the first layer, having a plurality of second alignment segments substantially parallel to each other extending along a Y direction different from the X direction; and a third feature in a third layer over the second layer, having a plurality of third alignment segments substantially parallel to each other extending along the X direction and a plurality of fourth alignment segments substantially parallel to each other extending along the Y direction.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 2, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Yu CHEN, Ming-Feng SHIEH, Ching-Yu CHANG
  • Publication number: 20130341620
    Abstract: In accordance with an embodiment of the present invention, a method of forming an electronic device includes forming a first opening and a second opening in a workpiece. The first opening is deeper than the second opening. The method further includes forming a fill material within the first opening to form part of a through via and forming the fill material within the second opening.
    Type: Application
    Filed: June 22, 2012
    Publication date: December 26, 2013
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Albert Birner, Tobias Herzig
  • Publication number: 20130334668
    Abstract: A method of manufacture of an integrated circuit packaging system includes: forming an integrated circuit device having a device contact surface, a device lateral side, and a device backside opposite the device contact surface; forming a device shell, having a shell lip, contiguous with the device backside and the device lateral side, the shell lip adjacent to and coplanar with the device contact surface; attaching a substrate to the integrated circuit device, the device shell between the integrated circuit device and the substrate; and forming an encapsulation on the substrate and covering the integrated circuit device and the device shell.
    Type: Application
    Filed: June 13, 2012
    Publication date: December 19, 2013
    Inventors: DaeWook Yang, Yeongbeom Ko
  • Patent number: 8610294
    Abstract: A conventional laser processing method has a problem that the number of scanning lines is large, and it is difficult to shorten the time needed for the marking. In a laser processing method of the present invention, a first laser processing is performed in accordance with the outer border of, for example, an English letter “A,” and thereafter, second and subsequent laser processings are performed on an inner region inside the outer border. In this event, for the second and subsequent laser processings, the respective processing lines (scanning lines) are set up in a longitudinal direction of a processing region. Thus, the number of processing lines is greatly reduced. As a result, the time needed for the marking is greatly shortened, and the laser marking workability is improved.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: December 17, 2013
    Assignee: On Semiconductor Trading, Ltd.
    Inventors: Yutaka Hasegawa, Masaaki Shiraishi
  • Patent number: 8610252
    Abstract: The scribe line structure for wafer dicing according to the present invention includes a plurality of metal structures arranged up-and-down on a substrate in a dielectric layer, and an upper one of the metal structures has a lower metal density than a lower one of the metal structures. In another aspect, the scribe line structure for wafer dicing includes a plurality of metal structures arranged up-and-down on a substrate in a dielectric layer, and each of the metal structures has a lower metal density on a dicing path for the wafer dicing than not on the dicing path. The scribe line structure can effectively avoid interlayer delamination or peeling issue caused by a dicing process, especially on a low-k/Cu wafer.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: December 17, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Ping-Chang Wu, Tsung-Shu Lin
  • Publication number: 20130328221
    Abstract: Better alignment mark designs for semiconductor devices may substantially lessen the frequency of layer misalignment scanner alignment problems. Exemplary alignment mark designs substantially avoid or minimize damage during the fill-in and etching and chemical mechanical processing processes. Thus, additional processing steps to even out various layers or to address the misalignment problems may also be avoided.
    Type: Application
    Filed: June 12, 2012
    Publication date: December 12, 2013
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Feng-Nien TSAI