Characterized By Physical Imperfections; Having Polished Or Roughened Surface (epo) Patents (Class 257/E29.106)
  • Patent number: 8912653
    Abstract: A semiconductor wafer has integrated circuits formed thereon and a top passivation layer applied. The passivation layer is patterned and selectively etched to expose contact pads on each semiconductor die. The wafer is exposed to ionized gas causing the upper surface of passivation layer to roughen and to slightly roughen the upper surface of the contact pads. The wafer is cut to form a plurality of semiconductor dies each with a roughened passivation layer. The plurality of semiconductor dies are placed on an adhesive layer and a reconstituted wafer formed. Redistribution layers are formed to complete the semiconductor package having electrical contacts for establishing electrical connections external to the semiconductor package, after which the wafer is singulated to separate the dice.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: December 16, 2014
    Assignee: STMicroelectronics Pte Ltd.
    Inventors: Kah Wee Gan, Yonggang Jin, Anandan Ramasamy, Yun Liu
  • Patent number: 8854614
    Abstract: A method of thermally treating a wafer includes loading a wafer into a process chamber having one or more regions of uniform temperature gradient and one or more regions of non-uniform temperature gradient. A defect is detected in the wafer. The wafer is aligned to position the defect within one of the one or more regions of uniform temperature gradient. A rapid thermal process is performed on the wafer in the process chamber while the defect is positioned within one of the one or more regions of uniform temperature gradient.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: October 7, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Hoon Kang, Taegon Kim, Hanmei Choi, Eunyoung Jo, Gonsu Kang, Sungho Kang, Sungho Heo
  • Publication number: 20130241075
    Abstract: Closed loop control may be used to improve uniformity of contact or via critical dimension using chemical mechanical planarization. For example, real-time closed loop control may be used to adjust oxide buffing or over-polishing time in a chemical mechanical planarization process to more uniformly and consistently achieve a target critical dimension of a semiconductor wafer.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Chin-Tsan Yeh, Chun-Fu Chen, Yung-Tai Hung, Chin-Ta Su
  • Patent number: 8536662
    Abstract: A method is disclosed for manufacturing a semiconductor device, including providing a substrate comprising a main surface with a non flat topography, the surface comprising at least one substantial topography variation, forming a first capping layer over the main surface such that, during formation of the first capping layer, local defects in the first capping layer are introduced, the local defects being positioned at locations corresponding to the substantial topography variations and the local defects being suitable for allowing a predetermined fluid to pass through. Associated membrane layers, capping layers, and microelectronic devices are also disclosed.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: September 17, 2013
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Ann Witvrouw, Luc Haspeslagh, Bin Guo, Simone Severi, Gert Claes
  • Publication number: 20130193559
    Abstract: A cast silicon crystalline ingot comprises two major generally parallel surfaces, one of which is the front surface and the other of which is the back surface; a perimeter surface connecting the front surface and the back surface; and a bulk region between the front surface and the back surface; wherein the cast silicon crystalline ingot has no transverse dimension less than about five centimeters; the cast silicon crystalline ingot has a dislocation density of less than 1000 dislocations/cm2. Wafers sliced from the cast silicon crystalline ingot have solar cell efficiency of at least 17.5% and light induced degradation no greater than 0.2%.
    Type: Application
    Filed: January 27, 2012
    Publication date: August 1, 2013
    Applicant: MEMC SINGAPORE PTE. LTD. (UEN200614794D)
    Inventor: Jihong Chen
  • Publication number: 20120306030
    Abstract: A method of balancing a microelectromechanical system comprises determining if a microelectromechanical system is balanced in a plurality of orthogonal dimensions, and if the microelectromechanical system is not balanced, selectively depositing a first volume of jettable material on a portion of the microelectromechanical system to balance the microelectromechanical system in the plurality of orthogonal dimensions. A jettable material for balancing a microelectromechanical system comprises a vehicle, and a dispersion of nano-particles within the vehicle, in which the total mass of jettable material deposited on the microelectromechanical system is equal to the weight percentage of nano-particles dispersed within the vehicle multiplied by the mass of jettable material deposited on the microelectromechanical system.
    Type: Application
    Filed: June 2, 2011
    Publication date: December 6, 2012
    Inventors: Pavel Kornilovich, Vladek Kasperchik, James William Stasiak
  • Publication number: 20120211748
    Abstract: A method of dicing a semiconductor wafer includes forming a layer stack on a first main surface of a substrate. The layer stack and a portion of the substrate are etched according to a pattern defining an intended dicing location to obtain a trench structure. The substrate is irradiated with a laser beam to locally modify the substrate between a bottom of the trench structure and a second main surface of the substrate opposite to the first main surface.
    Type: Application
    Filed: February 17, 2011
    Publication date: August 23, 2012
    Applicant: Infineon Technologies AG
    Inventors: Giuseppe Miccoli, Adolf Koller, Jayachandran Bhaskaran
  • Publication number: 20120199953
    Abstract: The present invention relates to a process for smoothing the surface of a semiconductor wafer by fusion. The process includes defining a reference length which dimensions wafer surface roughness that is to be reduced or removed, and scanning the surface with a fusion beam while adjusting parameters of the fusion beam so as to fuse, during the scanning of the surface, a local surface zone of the wafer whose length is greater than or equal to the reference length, with the scanning continued to smooth the entire surface of the wafer by eliminating surface roughnesses of period lower than the reference length. The present invention also relates to a semiconductor wafer having a surface layer made of a semiconducting material that is smoothed by the process and that does not exhibit any roughness of period lower than the reference length.
    Type: Application
    Filed: January 12, 2012
    Publication date: August 9, 2012
    Applicant: SOITEC
    Inventor: Michel Bruel
  • Publication number: 20120193764
    Abstract: The instant disclosure relates to a nanostructuring process for an ingot surface prior to the slicing operation. A surface treatment step is performed for at least one surface of the ingot in forming a nanostructure layer thereon. The nanostructure layer is capable of enhancing the mechanical strength of the ingot surface to reduce the chipping ratio of the wafer during slicing.
    Type: Application
    Filed: January 19, 2012
    Publication date: August 2, 2012
    Applicant: SINO-AMERICAN SILICON PRODUCTS INC.
    Inventors: Jiunn-Yih CHYAN, Jian-Jhih LI, Kun-Lin YANG, Wen-Ching HSU
  • Publication number: 20120187542
    Abstract: According to one embodiment, a manufacturing method of a semiconductor device is disclosed. The method includes: (a) forming cutting grooves in an element formation surface of a semiconductor wafer on which semiconductor elements are formed; (b) applying a protection tape on the element formation surface of the semiconductor wafer; (c) grinding a rear surface of the semiconductor wafer to thin the semiconductor wafer and to divide the semiconductor wafer into a plurality of semiconductor chips on which the semiconductor elements are formed; (d) forming an adhesive layer on the rear surface of the semiconductor wafer; (e) separating and cutting the adhesive layer for each of the semiconductor chips; and (f) removing the protection tape. The (e) is performed by spraying a high-pressure air to the adhesive layer formed on the rear surface of the semiconductor wafer while melting or softening the adhesive layer by heating.
    Type: Application
    Filed: January 19, 2012
    Publication date: July 26, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tetsuya KUROSAWA, Shinya Takyu, Akira Tomono
  • Publication number: 20120168911
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes: receiving a silicon wafer that contains oxygen; forming a zone in the silicon wafer, the zone being substantially depleted of oxygen; causing a nucleation process to take place in the silicon wafer to form oxygen nuclei in a region of the silicon wafer outside the zone; and growing the oxygen nuclei into defects. Also provided is an apparatus that includes a silicon wafer. The silicon wafer includes: a first portion that is substantially free of oxygen, the first portion being disposed near a surface of the silicon wafer; and a second portion that contains oxygen; wherein the second portion is at least partially surrounded by the first portion.
    Type: Application
    Filed: December 30, 2010
    Publication date: July 5, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Ming Chen, Chung-Yi Yu, Chia-Shiung Tsai, Ho-Yung David Hwang, Alexander Kalnitsky
  • Publication number: 20120112321
    Abstract: An etching liquid for texturing a silicon wafer surface is provided. The etching liquid may include an aqueous solution of at least one alkaline etching agent and at least one polysaccharide or derivative thereof. Also provided is a process for texture etching a silicon wafer using the etching liquid of the invention.
    Type: Application
    Filed: November 4, 2010
    Publication date: May 10, 2012
    Applicant: SOLARWORLD INDUSTRIES AMERICA, INC.
    Inventor: Konstantin Holdermann
  • Publication number: 20120074528
    Abstract: A method of treating a sheet of semiconducting material comprises forming a sinterable first layer over each major surface of a sheet of semiconducting material, forming a second layer over each of the first layers to form a particle-coated semiconductor sheet, placing the particle-coated sheet between end members, heating the particle-coated sheet to a temperature effective to at least partially sinter the first layer and at least partially melt the semiconducting material, and cooling the particle-coated sheet to solidify the semiconducting material and form a treated sheet of semiconducting material.
    Type: Application
    Filed: September 19, 2011
    Publication date: March 29, 2012
    Inventors: Glen Bennett Cook, Prantik Mazumder, Mallanagouda Dyamanagouda Patil, Lili Tian, Natesan Venkataraman
  • Publication number: 20120061806
    Abstract: A method of drying a surface of a substrate is provided. The method includes supporting a substrate; rotating the substrate about a rotational center point; applying a liquid to the substrate via a liquid dispenser; applying a drying fluid to the substrate via a drying fluid dispenser; moving the drying fluid dispenser and the liquid dispenser in a direction toward an edge region of the substrate, the drying fluid being applied closer to the rotational center point than the fluid; upon the liquid being applied to the edge region of the substrate, discontinuing application of the liquid while continuing the manipulation of the drying fluid dispenser; and upon the drying fluid being applied to the edge region of the substrate, continuing to apply the drying fluid for a predetermined period of time.
    Type: Application
    Filed: November 15, 2011
    Publication date: March 15, 2012
    Inventors: Zhi (Lewis) Liu, Ismail Kashkoush, Hanjoo Lee
  • Patent number: 8101999
    Abstract: A SOI substrate includes a silicon substrate, a silicon oxide layer arranged on the silicon substrate, a silicon layer arranged on the silicon oxide layer, a gettering layer arranged in the silicon substrate, and a damaged layer formed of an impurity-doped region arranged in the silicon oxide layer.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: January 24, 2012
    Assignee: Sony Corporation
    Inventor: Ritsuo Takizawa
  • Publication number: 20120012985
    Abstract: Substrate stand-offs for use with semiconductor devices are provided. Active pillars and dummy pillars are formed on a first substrate such that the dummy pillars may have a height greater than a height of the active pillars. The dummy pillars act as stand-offs when joining the first substrate to a second substrate, thereby creating greater uniformity. In an embodiment, the dummy pillars may be formed simultaneously as the active pillars by forming a patterned mask having openings with a smaller width for the dummy pillars than for the active pillars. When an electro-plating process of the like is used to form the dummy and active pillars, the smaller width of the dummy pillar openings in the patterned mask causes the dummy pillars to have a greater height than the active pillars.
    Type: Application
    Filed: July 13, 2010
    Publication date: January 19, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng Hung Shen, Tin-Hao Kuo, Chen-Cheng Kuo, Chen-Shien Chen, Yao-Chun Chuang
  • Patent number: 8097883
    Abstract: A thin film transistor and a fabrication method thereof, in which one excimer laser annealing (ELA) makes a pixel portion and a driver portion different from each other in surface roughness and grain size.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: January 17, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventor: Hong-Ro Lee
  • Publication number: 20120001301
    Abstract: An annealed wafer obtained by performing rapid thermal annealing on a silicon single crystal wafer sliced from a silicon single crystal ingot in which an entire plane is an OSF region, an N region outside an OSF region, or a mixed region thereof, the silicon single crystal ingot being grown by the Czochralski method, in which RIE defects do not exist in a region having at least a depth of 1 ?m from a surface, a good chip yield of a TDDB characteristic is 80% or more, and a depth of a region where an oxygen concentration is decreased due to outward diffusion is within 3 ?m from the surface, and a method for producing an annealed wafer.
    Type: Application
    Filed: March 17, 2010
    Publication date: January 5, 2012
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Koji Ebara, Yoshinori Hayamizu, Hiroyasu Kikuchi
  • Publication number: 20110316021
    Abstract: Epitaxial growth methods and devices are described that include a textured surface on a substrate. Geometry of the textured surface provides a reduced lattice mismatch between an epitaxial material and the substrate. Devices formed by the methods described exhibit better interfacial adhesion and lower defect density than devices formed without texture. Silicon substrates are shown with gallium nitride epitaxial growth and devices such as LEDs are formed within the gallium nitride.
    Type: Application
    Filed: June 29, 2010
    Publication date: December 29, 2011
    Inventors: Anton deVilliers, Eric Byers, Scott Sills
  • Publication number: 20110309478
    Abstract: Techniques are here disclosed for a solar cell pre-processing method and system for annealing and gettering a solar cell semiconductor wafer having an undesirably high dispersion of transition metals, impurities and other defects. The process forms a surface contaminant layer on the solar cell semiconductor (e.g., silicon) wafer. A surface of the semiconductor wafer receives and holds impurities, as does the surface contaminant layer. The lower-quality semiconductor wafer includes dispersed defects that in an annealing process getter from the semiconductor bulk to form impurity cluster toward the surface contaminant layer. The impurity clusters form within the surface contaminant layer while increasing the purity level in wafer regions from which the dispersed defects gettered. Cooling follows annealing for retaining the impurity clusters and, thereby, maintaining the increased purity level of the semiconductor wafer in regions from which the impurities gettered.
    Type: Application
    Filed: August 26, 2011
    Publication date: December 22, 2011
    Applicant: Calisolar, Inc.
    Inventors: Fritz Kirscht, Kamel Ounadjela, Jean Patrice Rakotoniana, Dieter Linke
  • Publication number: 20110297959
    Abstract: Technology of making freestanding gallium nitride (GaN) wafers has been matured at length. Gallium nitride is rigid but fragile. Chamfering of a periphery of a GaN wafer is difficult. At present edges are chamfered by a rotary whetstone of gross granules with weak pressure. Minimum roughness of the chamfered edges is still about Ra 10 ?m to Ra 6 ?m. The large edge roughness causes scratches, cracks, splits or breaks in transferring process or wafer process. A wafer of the present invention is bevelled by fixing the wafer to a chuck of a rotor, bringing an edge of the wafer into contact with an elastic whetting material having a soft matrix and granules implanted on the soft matrix, rotating the wafer and feeding the whetting material. Favorably, several times of chamfering edges by changing the whetting materials of smaller granules are given to the wafer. The chamfering can realize small roughness of Ra 10 nm and Ra 5 ?m at edges of wafers.
    Type: Application
    Filed: August 17, 2011
    Publication date: December 8, 2011
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Masahiro NAKAYAMA, Masato Irikura
  • Publication number: 20110180132
    Abstract: Methods for texturing of single crystal silicon substrates, particularly for use as solar cells or photovoltaic cells. Texturizing of the wafer surface is carried out with a TMAH based solution. The texturizing solution may further include isopropyl alcohol and ethylene glycol at different dilutions in DI water to further improves results.
    Type: Application
    Filed: January 28, 2010
    Publication date: July 28, 2011
    Inventors: Curtis DOVE, Greg BAUER, Mehdi BALOOCH
  • Publication number: 20110140243
    Abstract: A semiconductor device comprises a semiconductor substrate, a first electrode formed on a first main surface of the semiconductor substrate, and a second electrode formed on a second main surface of the semiconductor substrate. The semiconductor substrate includes a first region in which a density of oxygen-vacancy defects is greater than a density of vacancy cluster defects, and a second region in which the density of vacancy cluster defects is greater than the density of oxygen-vacancy defects.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 16, 2011
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Tadashi MISUMI, Shinya IWASAKI, Takahide SUGIYAMA
  • Publication number: 20110133314
    Abstract: A method for producing a semiconductor wafer includes pulling a single crystal of semiconductor material, slicing a semiconductor wafer from the single crystal and polishing the semiconductor wafer with the polishing pad and polishing agent. The polishing agent is free of solid materials having abrasive action and the polishing pad contains fixedly bonded solid materials with abrasive action. During polishing the polishing agent is supplied in a gap between the semiconductor wafer and polishing pad. The polishing agent has a pH value in a range of 9.5 to 12.5.
    Type: Application
    Filed: November 4, 2010
    Publication date: June 9, 2011
    Applicant: SILTRONIC AG
    Inventors: Georg Pietsch, Walter Haeckl, Juergen Schwandner, Noemi Banos
  • Publication number: 20110114984
    Abstract: The present invention is related to a supporting substrate for manufacturing vertically-structured semiconductor light emitting device and a vertically-structured semiconductor light emitting device using the same, which minimize damage and breaking of a multi-layered light-emitting structure thin film separated from a sapphire substrate during the manufacturing process, thereby improving the whole performance of the semiconductor light emitting device.
    Type: Application
    Filed: July 15, 2009
    Publication date: May 19, 2011
    Inventor: Tae Yeon Seong
  • Publication number: 20110101504
    Abstract: Methods for holding a workpiece with a hydrostatic pad are disclosed herein. The pad includes hydrostatic pockets formed in a face of the body directly opposed to the wafer. The pockets are adapted for receiving fluid through the body and into the pockets to provide a barrier between the body face and the workpiece while still applying pressure to hold the workpiece during grinding. The hydrostatic pads allow the wafer to rotate relative to the pads about their common axis. The pockets are oriented to reduce hydrostatic bending moments that are produced in the wafer when the grinding wheels shift or tilt relative to the hydrostatic pads, helping prevent nanotopology degradation of surfaces of the wafer commonly caused by shift and tilt of the grinding wheels.
    Type: Application
    Filed: October 6, 2010
    Publication date: May 5, 2011
    Applicant: MEMC ELECTRONIC MATERIALS, INC.
    Inventors: Milind S. Bhagavat, Puneet Gupta, Roland R. Vandamme, Takuto Kazama, Noriyuki Tachi
  • Patent number: 7928518
    Abstract: In a P-channel power MIS field effect transistor formed on a silicon surface having substantially a (110) plane, a gate insulation film is used which provides a gate-to-source breakdown voltage of 10 V or more, and planarizes the silicon surface, or contains Kr, Ar, or Xe.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: April 19, 2011
    Assignees: Yazaki Corporation
    Inventors: Tadahiro Ohmi, Akinobu Teramoto, Hiroshi Akahori, Keiichi Nii, Takanori Watanabe
  • Publication number: 20110079882
    Abstract: A wafer includes a wafer frontside and a region adjacent to the device surface, wherein the region includes vacancy-oxygen complexes and the wafer frontside includes a predetermined surface structure to form thereon a device with a desired property.
    Type: Application
    Filed: December 14, 2010
    Publication date: April 7, 2011
    Inventors: Hans-Joachim Schulze, Hans-Joerg Timme, Helmut Strack
  • Publication number: 20110062558
    Abstract: A semiconductor wafer for semiconductor components and to a method for its production is disclosed. In one embodiment, the semiconductor wafer includes a front side with an adjoining near-surface active zone as basic material for semiconductor component structures. The rear side of the semiconductor wafer is adjoined by a getter zone for gettering impurity atoms in the semiconductor wafer. The getter zone contains oxygen precipitates. In the near-surface active zone, atoms of doping material are located on lattice vacancies. The atoms of doping material have a higher diffusion coefficient that the oxygen atoms.
    Type: Application
    Filed: November 18, 2010
    Publication date: March 17, 2011
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventor: Hans-Joachim Schulze
  • Patent number: 7884446
    Abstract: The present invention generally provides semiconductor substrates having submicron-sized surface features generated by irradiating the surface with ultra short laser pulses. In one aspect, a method of processing a semiconductor substrate is disclosed that includes placing at least a portion of a surface of the substrate in contact with a fluid, and exposing that surface portion to one or more femtosecond pulses so as to modify the topography of that portion. The modification can include, e.g., generating a plurality of submicron-sized spikes in an upper layer of the surface.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: February 8, 2011
    Assignee: President & Fellows of Harvard College
    Inventors: Eric Mazur, Mengyan Shen
  • Publication number: 20110006368
    Abstract: The objective is to improve capabilities such as high-speed switching of a compound semiconductor device. Provided is a semiconductor wafer comprising a silicon wafer; an insulating film that is formed on the silicon wafer and that includes an open portion reaching the silicon wafer and having an aspect ratio of ?3/3 or more; a seed compound semiconductor crystal that is formed in the open portion and that protrudes beyond a surface of the insulating film; and a laterally grown compound semiconductor layer that is laterally grown on the insulating film with a specified surface of the seed compound semiconductor crystal as a seed surface.
    Type: Application
    Filed: February 27, 2009
    Publication date: January 13, 2011
    Applicant: Sumitomo Chemical Company, Limited
    Inventors: Masahiko Hata, Tomoyuki Takada
  • Publication number: 20100327415
    Abstract: Provided is a method for manufacturing a silicon epitaxial wafer by growing an epitaxial layer by placing a silicon substrate on a susceptor. The method includes at least a step of forming a silicon oxide film entirely on the rear surface of the silicon substrate; a step of removing the silicon oxide film formed at least on an edge section of the silicon substrate; and a step of placing the silicon substrate on the susceptor with the silicon oxide film in between. An epitaxial layer is grown on the silicon substrate, while holding the silicon substrate by the susceptor with the silicon oxide film in between. Thus, the silicon epitaxial wafer by which generation of particles can be reduced in a device manufacturing process and a method for manufacturing such silicon epitaxial wafer are provided.
    Type: Application
    Filed: February 27, 2009
    Publication date: December 30, 2010
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventor: Takeshi Arai
  • Publication number: 20100327414
    Abstract: Semiconductor wafers are produced by a process of: a) providing a semiconductor wafer by cutting a silicon ingot into wafers; b) rounding the edge of the wafer, so that the wafer comprises plane surfaces on the frontside and backside and rounded oblique surfaces in the edge region; c) polishing the frontside and backside of the wafer, the frontside being polished by chemical-mechanical polishing using a polishing pad which is free of abrasive fixed in the polishing pad; backside polishing being carried out in three steps, using a polishing pad containing fixed abrasive which is pressed onto the backside of the wafer, a polishing agent free of solids introduced between the polishing pad and the backside of the wafer in the first step, a polishing agent containing abrasive being introduced in the second and third steps, a polishing pressure of 8-15 psi in the first and second steps being reduced to 0.5-5 psi in the third step.
    Type: Application
    Filed: May 12, 2010
    Publication date: December 30, 2010
    Applicant: SILTRONIC AG
    Inventor: Juergen Schwandner
  • Patent number: 7842568
    Abstract: A lateral power semiconductor device has a substrate and an isolation layer formed over the substrate for reducing minority carrier storage in the substrate. A well region is formed over the isolation layer. A source region, drain region, and channel region are formed in the well. A first region is formed on a surface of the lateral power semiconductor device adjacent to the source region. The lateral power semiconductor device has a body diode between the first region and drain region. The isolation layer confines the minority carrier charge from the body diode to a depth of less than 20 ?m from the surface of the lateral power semiconductor device. In one embodiment, the isolation layer is a buried oxide layer and the substrate is an n-type or p-type handle wafer. Alternatively, the isolation layer is an epitaxial layer and the substrate is made with N+ or P+ semiconductor material.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: November 30, 2010
    Assignee: Great Wall Semiconductor Corporation
    Inventors: Samuel J. Anderson, David N. Okada
  • Patent number: 7830001
    Abstract: A Cu—Mo substrate 10 according to the present invention includes: a Cu base 1 containing Cu as a main component; an Mo base having opposing first and second principal faces 2a, 2b and containing Mo as a main component, the second principal face 2b of the Mo base 2 being positioned on at least a portion of a principal face 1a of the Cu base 1; and a first Sn—Cu-type alloy layer 3 covering the first principal face 2a and side faces 2c and 2d of the Mo base 2, the first Sn—Cu-type alloy layer 3 containing no less than 1 mass % and no more than 13 mass % of Sn.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: November 9, 2010
    Assignee: Neomax Materials Co., Ltd.
    Inventors: Masayuki Yokota, Kazuhiro Shiomi, Fumiaki Kikui, Masaaki Ishio
  • Publication number: 20100270650
    Abstract: A silicon substrate with periodical structure is disclosed, which comprises: a silicon substrate, and at least one periodical structure formed on at least one surface of the silicon substrate and having plural micro-cavities; wherein, the micro-cavities are arranged in an array, the micro-cavities are each in an inverted awl-shape or an inverted truncated cone-shape, the length of the base line of the micro-cavities in the inverted awl-shape is 100˜2400 nm, the diameter of the micro-cavities in the inverted truncated cone-shape is 100˜2400 nm, and the depth of the micro-cavities is 100˜2400 nm.
    Type: Application
    Filed: April 22, 2010
    Publication date: October 28, 2010
    Applicant: Aurotek Corporation
    Inventors: Chung-Hua Li, Sheng-Ru Lee
  • Publication number: 20100270651
    Abstract: A sapphire substrate with periodical structure is disclosed, which comprises: a sapphire substrate, and at least one periodical structure formed on at least one surface of the sapphire substrate and having plural micro-cavities; wherein, the micro-cavities are arranged in an array, the micro-cavities are each in an inverted awl-shape, the length of the base line of the micro-cavities is 100˜2400 nm, and the depth of the micro-cavities is 25˜1000 nm.
    Type: Application
    Filed: April 22, 2010
    Publication date: October 28, 2010
    Applicant: Aurotek Corporation
    Inventors: Chung-Hua Li, Sheng-Ru Lee
  • Publication number: 20100252914
    Abstract: In a crystal growth reactor, a source material having an etching action and a crystal growth source material are simultaneously supplied to a semiconductor wafer surface, so that residual impurities can be eliminated in an efficient manner by balancing etching rate and crystal growth rate.
    Type: Application
    Filed: June 21, 2010
    Publication date: October 7, 2010
    Applicant: NEC CORPORATION
    Inventor: Koichi NANIWAE
  • Publication number: 20100237470
    Abstract: An epitaxial wafer is provided capable of eliminating particles in a device process, particles being generated from scratches in a boundary area between a rear surface and a chamfered surface of a wafer. The number of scratches in the boundary area between the rear surface and the chamfered surface is small, and thus the number of particles generated from the scratches is reduced at a time of immersion in an etching solution in the device process. Thereby, a device yield is increased.
    Type: Application
    Filed: November 6, 2008
    Publication date: September 23, 2010
    Applicant: SUMCO CORPORATION
    Inventors: Kazushige Takaishi, Tomonori Miura
  • Publication number: 20100207212
    Abstract: To prevent bubbles from occurring along a transfer interface, the present method includes the steps of: forming a peeled layer 10 in a transferred member 6 by implanting a peeled-layer forming substance into the transferred member 6; forming a planar surface in the transferred member 6 by planarizing a surface of the transferred member 6; forming a composite including the transferred member 6 and a glass substrate 2 by directly combining the transferred member 6 via the planar surface with a surface of the glass substrate 2; and peeling a part of the transferred member 6 from the composite along the peeled layer 10 serving as an interface by heat-treating the composite.
    Type: Application
    Filed: October 21, 2008
    Publication date: August 19, 2010
    Inventors: Michiko Takei, Shin Matsumoto, Yasumori Fukushima, Yutaka Takafuji
  • Publication number: 20100193915
    Abstract: In a chamber of a plasma processing apparatus, a cathode electrode and an anode electrode are disposed at a distance from each other. The cathode electrode is supplied with electric power from an electric power supply portion. The anode electrode is electrically grounded and a substrate is placed thereon. The anode electrode contains a heater. In an upper wall portion of the chamber, an exhaust port is provided and connected to a vacuum pump through an exhaust pipe. In a lower wall portion of a wall surface of the chamber, a gas introduction port is provided. A gas supply portion is provided outside the chamber.
    Type: Application
    Filed: September 2, 2008
    Publication date: August 5, 2010
    Inventors: Katsushi Kishimoto, Yusuke Fukuoka
  • Publication number: 20100148317
    Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.
    Type: Application
    Filed: February 24, 2010
    Publication date: June 17, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Sangheon LEE, Dae-Han CHOI, Jisoo KIM, Peter CIRIGLIANO, Zhisong HUANG, Robert CHARATAN, S.M. Reza SADJADI
  • Publication number: 20100140746
    Abstract: The present invention relates to a method of treating wafers comprising at least one surface layer of silicon-germanium (SiGe) and a layer of strained silicon (sSi) in contact with the SiGe layer, the sSi layer being exposed by etching of the SiGe layer, the method comprising the steps of: (a) a first selective etch of the SiGe layer, optionally followed by an oxidative cleaning step; (b) a rinsing step using deionized water; (c) drying; and (d) a second selective etch step. The present invention relates to a wafer comprising at least one surface layer of strained silicon (sSi), said surface layer of sSi having a thickness of at least 5 nanometres and at most 100 ?m of at most 200 defects per wafer.
    Type: Application
    Filed: May 3, 2007
    Publication date: June 10, 2010
    Applicant: S.O.I.TEC SILICON ON INSULATOR TECHNOLOGIES, S.A.
    Inventors: Khalid Radouane, Alessandro Baldaro
  • Patent number: 7704883
    Abstract: A method for manufacturing a semiconductor device. The method comprises depositing a material layer on a semiconductor substrate and patterning the material layer with a patterning material. Patterning forms a patterned structure of a semiconductor device, wherein the patterned structure has a sidewall with a roughness associated therewith. The method also comprises removing the patterning material from the patterned structure and annealing an outer surface of the patterned structure such that the roughness is reduced.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: April 27, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Stephanie W. Butler, Yuanning Chen
  • Publication number: 20100090303
    Abstract: A SOI substrate includes a silicon substrate, a silicon oxide layer arranged on the silicon substrate, a silicon layer arranged on the silicon oxide layer, a gettering layer arranged in the silicon substrate, and a damaged layer formed of an impurity-doped region arranged in the silicon oxide layer.
    Type: Application
    Filed: October 6, 2009
    Publication date: April 15, 2010
    Applicant: SONY CORPORATION
    Inventor: Ritsuo Takizawa
  • Publication number: 20100065946
    Abstract: A method of manufacturing a semiconductor device includes providing first and second semiconductor substrates, each having first and second main surfaces opposite to one another. A roughened surface is formed on at least one of the first main surface of the first semiconductor substrate and the second main surface of the second semiconductor substrate. A dielectric layer is formed on the first main surface of the semiconductor substrate and the second semiconductor substrate is disposed on the dielectric layer opposite to the first semiconductor substrate. The second main surface of the second semiconductor substrate contacts the dielectric layer.
    Type: Application
    Filed: March 30, 2009
    Publication date: March 18, 2010
    Applicant: ICEMOS TECHNOLOGY LTD.
    Inventor: Robin Wilson
  • Publication number: 20100059861
    Abstract: Semiconductor wafers composed of monocrystalline silicon and doped with nitrogen contain an OSF region and a PV region, wherein the OSF region extends from the center radially toward the edge of the wafer as far as the Pv region; the wafer has an OSF density of less than 10 cm?2, a BMD density in the bulk of at least 3.5×108 cm?3, and a radial distribution of the BMD density with a fluctuation range BMDmax/BMDmim of not more than 3. The wafers are produced by controlling initial nitrogen content and maintaining oxygen within a narrow window, followed by a heat treatment.
    Type: Application
    Filed: August 27, 2009
    Publication date: March 11, 2010
    Applicant: SILTRONIC AG
    Inventors: Timo Mueller, Gudrun Kissinger, Walter Heuwieser, Martin Weber
  • Publication number: 20100052103
    Abstract: A silicon wafer is produced through the steps of forming a silicon ingot by a CZ method with an interstitial oxygen concentration of not more than 7.0×1017 atoms/cm3, slicing a wafer from the silicon ingot after doping the silicon ingot with phosphorus, forming a polysilicon layer or a strained layer on one main surface of the wafer, mirror polishing the other main surface of the wafer, and performing a heat treatment for the wafer in a non-oxidizing atmosphere.
    Type: Application
    Filed: August 20, 2009
    Publication date: March 4, 2010
    Applicant: SUMCO CORPORATION
    Inventors: Shigeru UMENO, Manabu NISHIMOTO, Masataka HOURAI
  • Publication number: 20100025821
    Abstract: When positively charged ions are implanted into a target substrate, charge-up damage may occur on the target substrate. In order to suppress charge-up caused by secondary electrons emitted from the target substrate when positively charged ions are implanted, a conductive member is installed at a position facing the target substrate and electrically grounded with respect to a high frequency. Further, a field intensity generated in the target substrate may be reduced by controlling an RF power applied to the target substrate in pulse mode.
    Type: Application
    Filed: December 20, 2007
    Publication date: February 4, 2010
    Applicants: NATIONAL UNIVERSITY CORP TOHOKU UNIVERSITY, TOKYO ELECTRON LIMITED
    Inventors: Tadahiro Ohmi, Tetsuya Goto, Akinobu Teramoto, Takaaki Matsuoka
  • Patent number: 7655950
    Abstract: The present invention provides a manufacturing method of a high performance active matrix substrate at a high throughput with a less expensive apparatus, and an image display device using the active matrix substrate. On a stage moving in the short axis direction X and long axis direction Y on a rail, a glass substrate is carried, which has an amorphous silicon semiconductor film formed. Polycrystallized and large grain silicon film may be obtained by intensity modulating the pulsed laser beam in a line beam shape by means of a phase shift mask with a periodicity in the long axis direction Y of the laser beam, moving the laser beam randomly in the modulation direction of the amorphous silicon semiconductor film formed on the glass substrate to expose to crystallize the film. The image display device may incorporate an active matrix substrate having active elements such as thin film transistors formed by this silicon film.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: February 2, 2010
    Assignee: Hitachi Displays, Ltd.
    Inventors: Takeshi Sato, Kazuo Takeda, Masakazu Saito, Jun Goto, Makoto Ohkura