Charging By Tunneling Of Carriers (e.g., Fowler-nordheim Tunneling) (epo) Patents (Class 257/E29.304)
  • Publication number: 20090179252
    Abstract: A flash memory device may include a lower tunnel insulation layer disposed on a substrate, an upper tunnel insulation layer disposed on the lower tunnel insulation layer, a floating gate disposed on the upper tunnel insulation layer, an intergate insulation layer disposed on the floating gate; and a control gate disposed on the intergate insulation layer.
    Type: Application
    Filed: November 24, 2008
    Publication date: July 16, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-kweon Baek, Sang-ryol Yang, Si-young Choi, Bon-young Koo, Ki-hyun Hwang, Dong-kak Lee
  • Patent number: 7544996
    Abstract: A method of fabricating a semiconductor device having a metal gate pattern is provided in which capping layers are used to control the relative oxidation rates of portions of the metal gate pattern during a oxidation process. The capping layer may be a multilayer structure and may be etched to form insulating spacers on the sidewalls of the metal gate pattern. The capping layer(s) allow the use of a selective oxidation process, which may be a wet oxidation process utilizing partial pressures of both H2O and H2 in an H2-rich atmosphere, to oxidize portions of the substrate and metal gate pattern while suppressing the oxidation of metal layers that may be included in the metal gate pattern. This allows etch damage to the silicon substrate and edges of the metal gate pattern to be reduced while substantially maintaining the original thickness of the gate insulating layer and the conductivity of the metal layer(s).
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: June 9, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ja-Hum Ku, Chang-Won Lee, Seong-Jun Heo, Sun-Pil Youn, Sung-Man Kim
  • Patent number: 7531864
    Abstract: A nonvolatile memory device includes: a semiconductor layer of a first conductivity type in which a first region, a second region, and a third region are partitioned by an isolation insulating layer; a semiconductor section of a second conductivity type provided in the first region and functioning as a control gate; a semiconductor section of the first conductivity type provided in the second region; a semiconductor section of the second conductivity type provided in the third region; an insulating layer provided on the semiconductor layer in the first to third regions; a floating gate electrode provided on the insulating layer across the first to third regions; impurity regions of the first conductivity type provided on each side of the floating gate electrode in the first region; impurity regions of the second conductivity type provided on each side of the floating gate electrode in the second region and functioning as either a source region or a drain region; and impurity regions of the first conductivity
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: May 12, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Kimihiro Maemura, Satoru Kodaira, Hitoshi Kobayashi
  • Patent number: 7525148
    Abstract: A nonvolatile memory device and a method of manufacturing the same are provided. An insulation layer having a high etching rate as compared with a pad oxide layer is formed as a buffer layer between a first STI film formed as a lower part of semiconductor substrate and a second STI film formed as an upper part of the semiconductor substrate, to obtain a pillar CD for an SAP structure. The buffer layer is etched more speedily in comparison with the pad oxide layer in a procedure of etching the pad oxide layer, thus ensuring a sufficient pillar CD without an excessive wet etch-back. Accordingly, a defect occurrence such as a grooving or seam can be prevented in realizing the SAP structure, and a tunnel oxide layer can be formed with uniform thickness.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Joon Kim, Dae-Woong Kim, Min Kim
  • Patent number: 7525149
    Abstract: A memory device is fabricated with a graded composition tunnel insulator layer. This layer is formed over a substrate with a drain and a source region. The tunnel insulator is comprised of a graded SiC—GeC—SiC composition. A charge blocking layer is formed over the tunnel insulator. A trapping layer of nano-crystals is formed in the charge blocking layer. In one embodiment, the charge blocking layer is comprised of germanium carbide and the nano-crystals are germanium. The thickness and/or composition of the tunnel insulator determines the functionality of the memory cell such as the volatility level and speed. A gate is formed over the charge blocking layer.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: April 28, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Arup Bhattacharyya, Kie Y. Ahn, Leonard Forbes
  • Patent number: 7525147
    Abstract: A memory structure including a semiconductor substrate, an insulator layer formed on the semiconductor substrate and a gate layer formed on the insulator layer is disclosed. The insulator layer includes a first nanocrystal implanted region proximate to the gate layer and a second nanocrystal implanted region proximate to the semiconductor substrate, wherein the first nanocrystal implanted region has an average nanocrystal concentration which is higher than an average nanocrystal concentration of the second nanocrystal implanted region.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: April 28, 2009
    Assignee: Nanyang Technological University
    Inventors: Tu Pei Chen, Chi Yung Ng
  • Publication number: 20090096009
    Abstract: A nonvolatile memory cell stores at least 50% of the charge in a dielectric, charge-trapping layer (160) and at least 20% of the charge in a floating gate (170). The floating gate is at most 20 nm thick.
    Type: Application
    Filed: October 16, 2007
    Publication date: April 16, 2009
    Inventors: Zhong Dong, Chiliang Chen, Ching-Hwa Chen
  • Patent number: 7511333
    Abstract: A memory cell (110) has a plurality of floating gates (120L, 120R). The channel region (170) comprises a plurality of sub-regions (220L, 220R) adjacent to the respective floating gates, and a connection region (210) between the floating gates. The connection region has the same conductivity type as the source/drain regions (160) to increase the channel conductivity. Therefore, the floating gates can be brought closer together even though the inter-gate dielectric (144) becomes thick between the floating gates, weakening the control gate's (104) electrical field in the channel.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: March 31, 2009
    Assignee: ProMOS Technologies Inc.
    Inventors: Yue-Song He, Chung Wai Leung, Jin-Ho Kim, Kwok Kwok Ng
  • Patent number: 7495281
    Abstract: In a non-volatile memory device and methods of forming and operating the same, one memory transistor includes sidewall selection gates covering both sidewalls of a floating gate when the floating gate and a control gate are stacked. The sidewall selection gates are in a spacer form. Since the sidewall selection gates are in a spacer form on the sidewall of the floating gate, the degree of integration of cells can be improved. Additionally, since the side wall selection gates are disposed on both sidewalls of the floating gate, a voltage applied from a bit line and a common source line can be controlled and thus conventional writing/erasing errors can be prevented. Therefore, distribution of threshold voltage can be improved.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: February 24, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Jin Yang, Jeong-Uk Han, Kwang-Wook Koh, Jae-Hwang Kim, Sung-Chul Park, Ju-Ri Kim
  • Patent number: 7489005
    Abstract: An EEPROM having a nonvolatile memory cell is provided. The nonvolatile memory cell has a first MOS transistor and a second MOS transistor. The first MOS transistor and the second MOS transistor have a gate electrode in common, the gate electrode being a floating gate electrically isolated from a surrounding circuitry. The first MOS transistor and the second MOS transistor are of a same conductivity type.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: February 10, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Kouji Tanaka
  • Patent number: 7482651
    Abstract: A non-volatile memory cell uses a resonant tunnel barrier that has an amorphous silicon and/or amorphous germanium layer between two layers of either HfSiON or LaAlO3. A charge trapping layer is formed over the tunnel barrier. A high-k charge blocking layer is formed over the charge trapping layer. A control gate is formed over the charge blocking layer. Another embodiment forms a floating gate over the tunnel barrier that is comprised of two oxide layers with an amorphous layer of silicon and/or germanium between the oxide layers.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: January 27, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7446369
    Abstract: A semiconductor memory device may include an intergate dielectric layer of a high-K dielectric material interposed between a floating gate and a control gate. With this intergate high-K dielectric in place, the memory device may be erased using Fowler-Nordheim tunneling.
    Type: Grant
    Filed: August 4, 2005
    Date of Patent: November 4, 2008
    Assignees: Spansion, LLC, Advnaced Micro Devices, Inc.
    Inventors: Takashi Whitney Orimoto, Joong Jeon, Hidehiko Shiraiwa, Simon S. Chan, Harpreet K. Sachar
  • Publication number: 20080224202
    Abstract: A non-volatile memory includes a substrate, a number of isolation layers, a number of active layers, a number of floating gates, a number of control gates and a number of doped regions. The active layers are disposed in the substrate between the isolation layers, and the top surface of the active layer is higher than that of the isolation layer. The active layers and the isolation layers are arranged in parallel to each other and extend in the first direction. The control gates are disposed in the substrate. The control gates are arranged in parallel and extend in the second direction which crosses the first direction. The floating gates are disposed between the active layers and the control gates. The doped regions are disposed in the active layers between the control gates.
    Type: Application
    Filed: May 29, 2008
    Publication date: September 18, 2008
    Applicant: POWERCHIP SEMICONDUCTOR CORP.
    Inventors: Rex Young, Pin-Yao Wang
  • Publication number: 20080217675
    Abstract: A semiconductor structure includes a semiconductor substrate; a tunneling layer on the semiconductor substrate; a source region adjacent the tunneling layer; and a floating gate on the tunneling layer. The floating gate comprises a first edge having an upper portion and a lower portion, wherein the lower portion is recessed from the upper portion. The semiconductor structure further includes a blocking layer on the floating gate, wherein the blocking layer has a first edge facing a same direction as the first edge of the floating gate.
    Type: Application
    Filed: March 7, 2007
    Publication date: September 11, 2008
    Inventors: Shih-Chang Liu, Chu-Wei Chang, Chi-Hsin Lo, Chia-Shiung Tsai
  • Publication number: 20080191266
    Abstract: A NAND flash memory system with an array of individual charge storage elements, such as floating gates, arranged in a NAND string, each element being capable of selectively storing data in the form of charge there-in during a program or an erase operation, and during a read operation sensing the quantum of charge stored to provide reconstruction of data. Such a memory made with a floating gate that is spaced away from the diffusions and covered on all five sides except the channel side, by the control gate, there by having increased coupling with the associated advantage of lower high voltages, reduced impact of the unwanted disturb conditions, and providing for improved retention and reliability characteristics at higher operating temperatures is disclosed. The main emphasis in this technology is to provide a device with improved retention, endurance, and temperature characteristics meeting the Automotive specifications even with some area penalty.
    Type: Application
    Filed: April 10, 2008
    Publication date: August 14, 2008
    Inventor: Mammen Thomas
  • Publication number: 20080191267
    Abstract: A nonvolatile memory device and a method for fabricating the same decreases power consumption and prevents contamination of an insulating layer. The nonvolatile memory device includes a semiconductor substrate; a tunneling oxide layer formed on a predetermined portion of the semiconductor substrate; a floating gate formed on the tunneling oxide layer, the floating gate having a trench structure; a control gate formed inside the trench structure of the floating gate; and a gate insulating layer disposed between the floating gate and the control gate.
    Type: Application
    Filed: April 15, 2008
    Publication date: August 14, 2008
    Inventor: Eun Jong SHIN
  • Patent number: 7411246
    Abstract: A method of forming an array of floating gate memory cells, and an array formed thereby, that includes source and drain regions formed in a substrate, and a conductive block of material disposed over the source region. The floating gate is formed as a thin, L-shaped layer of conductive material having a first portion disposed over the channel region and a second portion extending vertically along the conductive block. The control gate includes a first portion disposed adjacent to and insulated from a distal end of the floating gate first portion, and a second portion disposed adjacent to the channel region. A portion of the control gate could extend into a trench formed into the substrate, wherein the drain region is formed underneath the trench, and the channel region has a first portion extending along the trench sidewall and a second portion extending along the substrate surface.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: August 12, 2008
    Assignee: Silicon Storage Technology, Inc.
    Inventor: Sohrab Kianian
  • Publication number: 20080157171
    Abstract: Electronic apparatus, systems, and methods of forming such electronic apparatus and systems include non-insulating nanocrystals disposed on a dielectric stack, where the non-insulating nanocrystals are arranged to store electric charge. The dielectric stack includes two dielectric layers having different electron barriers such that the non-insulating nanocrystals may be disposed on the dielectric layer having the lower electron barrier.
    Type: Application
    Filed: December 29, 2006
    Publication date: July 3, 2008
    Inventors: Prashant Majhi, Kyu S. Min, Wilman Tsai
  • Publication number: 20080157181
    Abstract: A non-volatile memory device and a fabrication method thereof. A high-k layer is formed between nitrogen-containing insulating layers. Accordingly, an interface reaction between an underlying oxide layer and the high-k insulating layer or between the oxide layer and a floating gate or a control gate can be prohibited and the electrical characteristics of the high-k layer can be improved, and a non-volatile memory device with high performance and high reliability can be fabricated.
    Type: Application
    Filed: December 26, 2007
    Publication date: July 3, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Jae Mun Kim, Jae Hyoung Koo, Dong Ho Lee, Kwon Hong, Woo Ri Jeong, Hee Soo Kim, Seung Woo Shin
  • Publication number: 20080150002
    Abstract: A method for semiconductor fabrication. The method includes providing a silicon substrate and forming a tunnel oxide layer the silicon substrate. Thereafter, a nitride layer is formed over the tunnel oxide layer. The nitride layer and the tunnel oxide layer are etched except where at least one nonvolatile silicon oxide nitride oxide silicon (SONOS) transistor is formed. Additionally, oxide layers are simultaneously formed over the nitride layer corresponding to where at least one SONOS memory transistor is formed and over the exposed silicon substrate corresponding to where at least one metal oxide semiconductor (MOS) transistor is formed.
    Type: Application
    Filed: December 22, 2006
    Publication date: June 26, 2008
    Inventor: Jeong-Mo Hwang
  • Patent number: 7365389
    Abstract: A semiconductor memory device may include an intergate dielectric layer of a high-K, high barrier height dielectric material interposed between a charge storage layer and a control gate. With this intergate high-K, high barrier height dielectric in place, the memory device may be efficiently erased using Fowler-Nordheim tunneling.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: April 29, 2008
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Joong Jeon, Wei Zheng, Mark Randolph, Meng Ding, Hidehiko Shiraiwa
  • Patent number: 7365388
    Abstract: The cell comprises a substrate having a drain region and a source region. An oxynitride layer is formed over the substrate. An embedded trap layer is formed over the oxynitride layer. An injector layer is formed over the embedded trap layer. A high dielectric constant layer is formed over the injector layer. A polysilicon control gate formed over the high dielectric constant layer. The cell can be formed in a planar architecture or a two element, split channel, three-dimensional device. The planar cell is formed with the high dielectric constant layer and the control gate being formed over and substantially around three sides of the embedded trap layer. The split channel device has a source line in the substrate under each trench and a bit line on either side of the trench.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: April 29, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7358560
    Abstract: A non-volatile memory device includes a semiconductor substrate having an active region defined by isolation films that extend along a first direction. A control gate line extends along in a second direction perpendicular to the first direction. First and second floating gates are formed on the active region and below the control gate line. An island conductive line is formed between the first and second floating gates and within the isolation films. The island conductive line extends along the first direction and is configured to receive a voltage in order to prevent interference between the first and second floating gates.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: April 15, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Keun Woo Lee
  • Patent number: 7358558
    Abstract: A floating gate of a flash memory device is formed in a moat formed in an isolation film. Therefore, an electric field applied between a control gate and a channel region upon cycling can be precluded or mitigated. A distance between the control gate and the channel region is set greater than a predetermined value. Therefore, an electric field applied between the control gate and the channel region upon cycling can be mitigated. As a result, a data retention characteristic and an endurance characteristic can be improved.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: April 15, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Keun Woo Lee
  • Patent number: 7342277
    Abstract: A transistor is described having a source electrode and a drain electrode. The transistor has at least one semiconducting carbon nanotube that is electrically coupled between the source and drain electrodes. The transistor has a gate electrode and dielectric material containing one or more quantum dots between the carbon nanotube and the gate electrode.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: March 11, 2008
    Assignee: Intel Corporation
    Inventors: Marko Radosavljevic, Amlan Majumdar, Suman Datta, Justin Brask, Brian Doyle, Robert Chau
  • Patent number: 7339229
    Abstract: A single-poly two-transistor PMOS memory cell for multiple-time programming applications includes a PMOS floating gate transistor sharing a drain/source P+ diffusion region with a PMOS select gate transistor all formed within a first n-well. A control plate for the floating gate transistor is formed in a second n-well. A single-poly two-transitor PMOS memory cell for one-time programming applications includes a PMOS floating gate transistor having a source formed as a p+ diffusion region in a single n-well. The source is adapted to also serve as control plate for the floating gate transistor.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: March 4, 2008
    Assignee: Chingis Technology Corporation
    Inventors: Alex Wang, Shang-De Ted Chang, Han-Chih Lin, Tzeng-Huei Shiau, I-Sheng Liu, Hsien-Wen Liu
  • Patent number: 7332768
    Abstract: Non-volatile memory devices are disclosed. In a first example non-volatile memory device, programming and erasing of the memory device is performed through the same insulating barrier without the use of a complex symmetrical structure. In the example device, programming is accomplished by tunneling negative charge carriers from a charge supply region to a charge storage region. Further in the example device, erasing is accomplished by tunneling positive carriers from the charge supply region to the charge storage region. In a second example non-volatile memory device, a charge storage region with spatially distributed charge storage region is included. Such a charge storage region may be implemented in the first example memory device or may be implemented in other memory devices. In the second example device, programming is accomplished by tunneling negative charge carriers from a charge supply region to the charge storage region.
    Type: Grant
    Filed: March 2, 2006
    Date of Patent: February 19, 2008
    Assignee: Interuniversitair Microelektronica Centrum (IMEC)
    Inventors: Bogdan Govoreanu, Maarten Rosmeulen, Pieter Blomme
  • Publication number: 20080001209
    Abstract: A non-volatile memory device may include a substrate having a field region and an active region including a rounded upper edge portion and a flat upper central portion, an effective tunnel oxide layer on the flat upper central portion of the active region, a split floating gate electrode on the effective tunnel oxide layer, the floating gate electrode having a width greater than a width of the effective tunnel oxide layer, a dielectric layer pattern on the floating gate electrode, the dielectric layer pattern including metal oxide, and a control gate electrode on the dielectric layer pattern.
    Type: Application
    Filed: April 10, 2007
    Publication date: January 3, 2008
    Inventors: Eun-Suk Cho, Kyu-Charn Park, Jong-Jin Lee, Jeong-Dong Choe
  • Patent number: 7314798
    Abstract: A method of making an array of storage cells includes a first source/drain region underlying a first trench defined in a semiconductor substrate and a second source/drain region underlying a second trench in the substrate. A charge storage stack lines each of the trenches where the charge storage stack includes a layer of discontinuous storage elements (DSEs). A control gate overlies the first trench. The control gate may run perpendicular to the trenches and traverse the first and second trenches. In another implementation, the control gate runs parallel with the trenches. The storage cell may include one or more diffusion regions occupying an upper surface of the substrate between the first and second trenches. The diffusion region may reside between first and second control gates that are parallel to the trenches. Alternatively, a pair of diffusion regions may occur on either side of a control gate that is perpendicular to the trenches.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: January 1, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gowrishankar L. Chindalore, Cheong M. Hong, Craig T. Swift
  • Publication number: 20070297246
    Abstract: In a memory cell array, each memory cell includes a control gate disposed laterally adjacent a floating gate. The memory cells in each memory column are disposed inside a single well. The control gate and the floating gate are disposed between two diffusion regions. Each memory cell may be erased and programmed by applying a combination of voltages to the diffusion regions, the control gate, and the well.
    Type: Application
    Filed: September 7, 2007
    Publication date: December 27, 2007
    Inventors: Andy Yu, Ying Go
  • Patent number: 7300844
    Abstract: A method of forming a gate of a flash memory device, including the steps of forming a tunnel oxide film and a first polysilicon layer in an active region of a semiconductor substrate, an isolation film in the field region, a dielectric layer, a second polysilicon layer, a metal silicide film, and a hard mask film on the structure, etching the hard mask film, the metal silicide film, and a given region of the second polysilicon layer to expose the dielectric layer, stripping a top surface of the exposed dielectric layer of the active region and the field region, a part of the first polysilicon layer of the active region to form dielectric layer horns, the first polysilicon layer and a part of the dielectric layer horns of the active region, and the first polysilicon layer and the dielectric layer horns of the active region.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: November 27, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Publication number: 20070267686
    Abstract: A semiconductor device includes a substrate, a memory cell formed on the substrate, and a contact to the substrate. The contact is formed in an area away from the memory cell and functions to raise the potential of the substrate.
    Type: Application
    Filed: July 24, 2007
    Publication date: November 22, 2007
    Applicant: SPANSION LLC
    Inventors: Ashot MARTIROSIAN, Zhizheng Liu, Mark Randolph
  • Patent number: 7291882
    Abstract: A programmable and erasable digital switch device is provided. An N-type memory transistor and a P-type memory transistor are formed over a substrate. The N-type memory transistor includes a first N-type doped region, a second N-type doped region, a first charge storage layer and a first control gate. The P-type memory transistor includes a first P-type doped region, a second P-type doped region, a second charge storage layer and a second control gate. A common bit line doped region is formed between the N-type memory transistor and the P type memory transistor and electrically connects the first N-type region to the second P-type doped region. A word line electrically connects the first control gate to the second control gate.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: November 6, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ching-Sung Yang, Wei-Zhe Wong
  • Patent number: 7279737
    Abstract: A nonvolatile semiconductor memory device includes a gate electrode portion composed of a floating gate electrode formed above a main surface of a semiconductor substrate of a first conductivity type via a tunnel insulating film, an inter-electrode insulating film formed on the floating gate electrode and formed of a stacked structure film of three or more layers formed of two or more types of high-dielectric material, and a control gate electrode formed above the floating gate electrode via the inter-electrode insulating film, and source and drain regions of a second conductivity type which are formed on the main surface of the substrate with the gate electrode portion being arranged between the source and drain regions.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: October 9, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akiko Nara, Masahiro Koike, Yuichiro Mitani
  • Publication number: 20070228455
    Abstract: In the memory array area of a semiconductor substrate, memory cells of a NAND flash memory are arranged in a matrix in the row direction and the column direction. A plurality of memory cells arranged in the row direction are mutually isolated by device isolation trenches having a thin strip planar shape extending in the column direction. The diameter of the device isolation trenches in the row direction at the bottom portion thereof is larger than that near the surface.
    Type: Application
    Filed: January 3, 2007
    Publication date: October 4, 2007
    Inventors: Yoshitaka Sasago, Tomoyuki Ishii, Toshiyuki Mine
  • Publication number: 20070152263
    Abstract: A dynamic random access memory (DRAM) cell layout for arranging deep trenches and active areas and a fabrication method thereof. An active area comprises two vertical transistors, a common bitline contact and two deep trenches. The first vertical transistor is formed on a region where the first deep trench is partially overlapped with the first gate conductive line. The second vertical transistor is formed on a region where the second deep trench is partially overlapped with the second gate conductive line.
    Type: Application
    Filed: March 16, 2007
    Publication date: July 5, 2007
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Ming-Cheng Chang, Tieh-Chiang Wu, Yi-Nan Chen, Jeng-Ping Lin
  • Publication number: 20070152262
    Abstract: Provided is a non-volatile memory device that can repetitively perform data write and erase operations in an embedded semiconductor device. In the non-volatile memory device, a device isolation region isolates a first active region and a second active region formed on a semiconductor substrate. A transistor electrode is formed on a first insulating layer in the first active region. A first capacitor electrode is formed on a second insulating layer in the first active region. A second capacitor electrode is formed on a third insulating layer in the second active region and electrically connected to the transistor electrode and the first capacitor electrode.
    Type: Application
    Filed: December 29, 2006
    Publication date: July 5, 2007
    Inventor: Il Han
  • Publication number: 20070152264
    Abstract: A nonvolatile (e.g., flash) memory device includes a substrate having a plurality of isolation areas and active areas; a trench formed on the isolation area; a first electrode layer formed on an inner wall of the trench; a first gate oxide layer formed between the inner wall of the trench and the first electrode layer; a junction area formed on the active area; a second gate oxide layer formed on the entire surface of the substrate including the first electrode layer, the first gate oxide layer, the trench and the junction area; a tunnel oxide layer formed on a part of the second gate oxide layer corresponding to the active area; and a second electrode layer formed on the active area and in the trench.
    Type: Application
    Filed: December 27, 2006
    Publication date: July 5, 2007
    Inventor: Heong Jin Kim
  • Publication number: 20070148836
    Abstract: In a first aspect, a first method of manufacturing a finFET is provided. The first method includes the steps of (1) providing a substrate; and (2) forming at least one source/drain diffusion region of the finFET on the substrate. Each source/drain diffusion region includes (a) an interior region of unsilicided silicon; and (b) silicide formed on a top surface and sidewalls of the region of unsilicided silicon. Numerous other aspects are provided.
    Type: Application
    Filed: December 22, 2005
    Publication date: June 28, 2007
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Louis Hsu, Jack Mandelman, Haining Yang
  • Publication number: 20070138541
    Abstract: A SONOS memory device, and a method of erasing data from the same, includes injecting charge carriers of a second sign into a trapping film, which traps charge carriers of a first sign to store data therein. The charge carriers of the second sign are generated by an electric field formed between one of a first and second electrodes contacting at least one bit line and a gate electrode contacting a word line. A blocking film may be provided between the gate electrode and the trapping film. The charge carriers of the second sign may be hot holes. This erasing improves erasing speed, thereby improving performance of the SONOS memory device.
    Type: Application
    Filed: February 5, 2007
    Publication date: June 21, 2007
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Soo-doo Chae, Chung-woo Kim, Jo-won Lee, Moon-kyung Kim
  • Publication number: 20070134877
    Abstract: A method of forming a gate of a flash memory device, including the steps of (a) forming a tunnel oxide film and a first polysilicon layer in an active region of a semiconductor substrate in which the active region and a field region are defined, and forming an isolation film in the field region, (b) sequentially forming a dielectric layer, a second polysilicon layer, a metal silicide film, and a hard mask film on the entire structure including the active region and the field region, (c) etching the hard mask film, the metal silicide film, and a given region of the second polysilicon layer to expose the dielectric layer, (d) stripping a top surface of the exposed dielectric layer of the active region and the field region, (e) stripping a part of the first polysilicon layer of the active region to form dielectric layer horns, (f) stripping the first polysilicon layer and a part of the dielectric layer horns of the active region, and (g) completely stripping the first polysilicon layer and the dielectric layer h
    Type: Application
    Filed: July 20, 2006
    Publication date: June 14, 2007
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Chan Hyun
  • Patent number: 7208376
    Abstract: A method of forming an array of floating gate memory cells, and an array formed thereby, wherein a trench is formed into a surface of a semiconductor substrate. The source region is formed underneath the trench, the drain region is formed along the substrate surface, and the channel region therebetween includes a first portion extending vertically along the trench sidewall and a second portion extending horizontally along the substrate surface. The floating gate is disposed in the trench adjacent to and insulated from the channel region first portion. The control gate is disposed over and insulated from the channel region second portion. The trench sidewall meets the substrate surface at an acute angle to form a sharp edge. The channel region second portion extends from the second region in a direction toward the sharp edge and the floating gate to define a path for programming the floating gate with electrons via hot electron injection.
    Type: Grant
    Filed: March 1, 2005
    Date of Patent: April 24, 2007
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Bomy Chen, Ying Kit Tsui, Wen-Juei Lu
  • Patent number: 7205601
    Abstract: A FinFET split gate EEPROM structure includes a semiconductor substrate and an elongated semiconductor fin extending above the substrate. A control gate straddles the fin, the fin's sides and a first drain-proximate portion of a channel between a source and drain in the fin. The control gate includes a tunnel layer and a floating electrode over which are a first insulative stratum and a first conductive stratum. A select gate straddles the fin and its sides and a second, source-promixate portion of the channel. The select gate includes a second insulative stratum and a second conductive stratum. The insulative strata are portions of a continuous insulative layer covering the substrate and the fin. The conductive strata are electrically continuous portions of a continuous conductive layer formed on the insulative layer.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: April 17, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Di-Hong Lee, Hsun-Chih Tsao, Kuang-Hsin Chen, Hung-Wei Chen
  • Publication number: 20070029601
    Abstract: A semiconductor memory device may include an intergate dielectric layer of a high-K dielectric material interposed between a floating gate and a control gate. With this intergate high-K dielectric in place, the memory device may be erased using Fowler-Nordheim tunneling.
    Type: Application
    Filed: August 4, 2005
    Publication date: February 8, 2007
    Inventors: Takashi Orimoto, Joong Jeon, Hidehiko Shiraiwa, Simon Chan, Harpreet Sachar
  • Publication number: 20070026621
    Abstract: Provided herein is a non-volatile semiconductor device that includes a tunnel insulation layer pattern formed on a semiconductor substrate, a charge trapping layer pattern formed on the tunnel insulation layer pattern, a blocking dielectric layer pattern formed on the charge trapping layer pattern and a tantalum carbon nitride layer pattern formed on the blocking dielectric layer pattern. The tantalum carbon nitride layer pattern may be formed by a CVD process using a source gas including a tantalum metal complex, wherein one or more of ligands of the tantalum metal complex include nitrogen and carbon. Since the non-volatile semiconductor device includes the tantalum carbon nitride layer pattern as an electrode, the non-volatile semiconductor device according to embodiments of the invention may have improved response speed and require relatively low driving voltage.
    Type: Application
    Filed: October 4, 2006
    Publication date: February 1, 2007
    Inventors: Hag-Ju Cho, Yu-Gyun Shin, Sang-Bom Kang, Taek-Soo Jeon, Hye-Lan Lee
  • Patent number: 7170131
    Abstract: Floating gate structures are disclosed which have a base field coupled with the substrate and a narrow projection extending from the base away from the substrate. In one form, surfaces of a relatively large projection provide an increased surface area for a control gate that wraps around it, thereby increasing the coupling between the two. In another form, an erase gate wraps around a relatively small projection in order to take advantage of sharp edges of the projection to promote tunneling of electrons from the floating to the erase gate. In each case, the control or floating gate is positioned within the area of the floating gate in one direction, thereby not requiring additional substrate area for such memory cells.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: January 30, 2007
    Assignee: SanDisk Corporation
    Inventor: Jack H. Yuan
  • Publication number: 20070018229
    Abstract: An electronic device can include discontinuous storage elements that lie within a trench. In one embodiment, the electronic device can include a substrate that includes a trench extending into a semiconductor material. The trench can include a ledge and a bottom, wherein the bottom lies at a depth deeper than the ledge. The electronic device can include discontinuous storage elements, wherein a trench portion of the discontinuous storage elements lies within the trench. Gate electrodes may lie adjacent to walls of the trench. In a particular embodiment, a portion of a channel region within a memory cell may not be covered by a gate electrode. In another embodiment, a doped region may underlie the ledge and allow for memory cells to be formed at different elevations within the trench. In other embodiment, a process can be used to form the electronic device.
    Type: Application
    Filed: July 25, 2005
    Publication date: January 25, 2007
    Applicant: Freescale Semiconductor, Inc.
    Inventors: Jane Yater, Gowrishankar Chindalore, Cheong Hong
  • Publication number: 20060278915
    Abstract: A FinFET split gate EEPROM structure includes a semiconductor substrate and an elongated semiconductor fin extending above the substrate. A control gate straddles the fin, the fin's sides and a first drain-proximate portion of a channel between a source and drain in the fin. The control gate includes a tunnel layer and a floating electrode over which are a first insulative stratum and a first conductive stratum. A select gate straddles the fin and its sides and a second, source-promixate portion of the channel. The select gate includes a second insulative stratum and a second conductive stratum. The insulative strata are portions of a continuous insulative layer covering the substrate and the fin. The conductive strata are electrically continuous portions of a continuous conductive layer formed on the insulative layer.
    Type: Application
    Filed: June 9, 2005
    Publication date: December 14, 2006
    Inventors: Di-Hong Lee, Hsun-Chih Tsao, Kuang-Hsin Chen, Hung-Wei Chen
  • Publication number: 20060267078
    Abstract: An oxidized region is arranged between a substrate of semiconductor material and a nitride liner, which covers wordline stacks of a memory cell array and intermediate areas of the substrate, and is provided to separate the nitride liner both from the substrate and from a memory layer sequence of dielectric materials that is provided for charge-trapping. The nitride liner is used as an etching stop layer in the formation of sidewall spacers used in a peripheral area to produce source/drain junctions of transistors of the addressing circuitry.
    Type: Application
    Filed: May 31, 2005
    Publication date: November 30, 2006
    Inventors: Ricardo Mikalo, Erwin Schroer, Gunther Wein, Jens-Uwe Sachse, Mark Isler, Jan-Malte Schley, Christoph Kleint
  • Publication number: 20060214218
    Abstract: A semiconductor device includes a semiconductor substrate, an ONO film that is provided on the semiconductor substrate and has a contact hole, and an interlayer insulating film that is provided directly on the ONO film and contains phosphorus. The interlayer insulating film contains 4.5 wt % of phosphorus or more in an interface portion that interfaces with the ONO film. The interlayer insulating film comprises a first portion that contacts the ONO film, and a second portion provided on the first portion. The first portion has a phosphorus concentration more than that of the second portion.
    Type: Application
    Filed: October 25, 2005
    Publication date: September 28, 2006
    Inventors: Kiyokazu Shishido, Masahiko Higashi, Minh Ngo, Angela Hui, Wenmei Li, Ning Cheng, Mark Ramsbey, Hirokazu Tokuno, Pei-Yuan Gao, Takayuki Enda