Of Electronic Circuit Chip Or Board Patents (Class 348/126)
  • Patent number: 11735486
    Abstract: Embodiments include process monitoring devices and methods of using such process monitoring devices. In one embodiment, the process monitoring device includes a substrate. The process monitoring device may also include a plurality of sensors formed on a support surface of the substrate. According to an embodiment, each sensor is capable of producing an output signal that corresponds to a processing condition. Furthermore, embodiments include a process monitoring device that includes a network interface device that is formed on the substrate. According to an embodiment each of the plurality of sensors is communicatively coupled to the network interface device. The network interface device allows for the output signals obtained from the sensors to be wirelessly transmitted to an external computer during processing operations.
    Type: Grant
    Filed: September 18, 2020
    Date of Patent: August 22, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Leonard Tedeschi, Kartik Ramaswamy
  • Patent number: 11714402
    Abstract: A universal automatic test system for a digital plugboard based on imagine processing, includes a digital plugboard test platform, an image acquisition and processing module, a test instrument module and a control and processing module. The universal automatic test system can determine a type of the digital plugboard and a point to be test based on the image of the digital plugboard, and call a corresponding automatic test program to complete the test of each point. A type of the output signal can be obtained through the universal automatic test system. By moving the detection probe, the any position of the digital plugboard can be test, and a fault portion of the digital plugboard can be obtained according to the test result.
    Type: Grant
    Filed: August 17, 2022
    Date of Patent: August 1, 2023
    Assignee: 38TH RESEARCH INSTITUTE, CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION
    Inventors: Guoqiang Sun, Fangning Tian, Wenqing Tong, Yifeng Bai, Fengtao Shu, Jianjun Su
  • Patent number: 11714051
    Abstract: A metrology system includes front and back vision components portions. The front vision components portion includes a light source, camera, variable focal length (VFL) lens, and objective lens defining an optical axis. The back vision components portion may include a reflective surface and a polarization altering component. A workpiece with apertures is located between the front and back vision components portions. For each aperture of the workpiece, the system adjusts a relative position between the front vision components portion and the workpiece to align its optical axis with each aperture such that light from the light source passes through the aperture and is reflected by the reflective surface of the back vision components portion. The system uses the VFL lens and camera to acquire an image stack including images of the aperture, and analyzes the image stack to determine a measurement related to a workpiece feature of the aperture.
    Type: Grant
    Filed: November 30, 2021
    Date of Patent: August 1, 2023
    Assignee: Mitutoyo Corporation
    Inventors: Paul Gerard Gladnick, Joseph Daniel Tobiason
  • Patent number: 11703467
    Abstract: An electron beam detection apparatus for a semiconductor device and an electron beam detection assembly are disclosed, the electron beam detection apparatus including a stage, which is configured to carry and hold the semiconductor device at a top surface of the stage, and is translatable in two directions orthogonal to each other, an aiming device, configured to determine a position of the semiconductor device in a coordinate system of the electron beam detection apparatus by capturing an image of the semiconductor device, the aiming device provided with a first field of view and a first optical axis, and an electron beam detection device, configured to detect an emergent electron beam exiting the semiconductor device by projecting an electron beam to the semiconductor device, the electron beam detection device provided with a second field of view and a second optical axis which is not consistent with the first optical axis.
    Type: Grant
    Filed: December 26, 2019
    Date of Patent: July 18, 2023
    Assignee: ZHONGKE JINGYUAN ELECTRON LIMITED, BEIJING
    Inventors: Lei Jiang, Yan Zhao
  • Patent number: 11606472
    Abstract: Disclosed are a projection device and a spatial imaging method. The projection device includes: an optical fiber scanner array; a light source located on an incident light path of the optical fiber scanner array; and an adjustment and control module assembly configured to couple, according to a virtual scene to be displayed, light emitted by the light source into the optical fiber scanner array, and to control the optical fiber scanner array to project pencil beams to a plurality of virtual object points corresponding to the virtual scene and located in space, such that multiple pencil beams projected to each virtual object point form a bundle of emitting light beams.
    Type: Grant
    Filed: March 19, 2018
    Date of Patent: March 14, 2023
    Assignee: CHENGDU IDEALSEE TECHNOLOGY CO., LTD.
    Inventors: Qinhua Huang, Haitao Song
  • Patent number: 11543639
    Abstract: Dual mode imaging systems and methods for macroscopic and microscopic imaging using the same optical imaging system (OIS). The various embodiments enable controllable and/or automated switching between macroscopic imaging and microscopic imaging modes. A dual mode imaging system includes a sample platform movable relative to an OIS between first and second locations, and a light source subsystem configured to generate and project an illumination beam onto a focal plane. When in the first location, the sample platform coincides with the focal plane, and the OIS receives light from the sample platform along a first detection light path. When in the second location, the illumination beam interacts with relay optics and impinges on the sample platform through an objective lens, and the light from the sample platform is directed back through the objective lens and relay optics to the OIS via the first detection path.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: January 3, 2023
    Assignee: Li-Cor, Inc.
    Inventor: Ahmed Bouzid
  • Patent number: 11539894
    Abstract: Automatic exposure control of an in-vehicle camera is performed under dark driving environments such as at night. An in-vehicle camera system includes a vehicle camera mounted in a vehicle configured to capture surroundings of the vehicle, and control circuitry that controls an exposure level of an image captured by the vehicle camera, the control of the exposure level being based on brightness information of a detection area set within the image, the detection area being a portion of the captured image and configured to output the image having exposure control performed thereon to a display.
    Type: Grant
    Filed: April 8, 2021
    Date of Patent: December 27, 2022
    Assignee: SONY GROUP CORPORATION
    Inventor: Satoshi Nakayama
  • Patent number: 11488322
    Abstract: This invention provides a system and method for training and performing runtime 3D pose determination of an object using a plurality of camera assemblies in a 3D vision system. The cameras are arranged at different orientations with respect to a scene, so as to acquire contemporaneous images of an object, both at training and runtime. Each of the camera assemblies includes a non-perspective lens that acquires a respective non-perspective image for use in the process. The searched object features in one of the acquired non-perspective image can be used to define the expected location of object features in the second (or subsequent) non-perspective images based upon an affine transform, which is computed based upon at least a subset of the intrinsics and extrinsics of each camera. The locations of features in the second, and subsequent, non-perspective images can be refined by searching within the expected location of those images.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: November 1, 2022
    Assignee: Cognex Corporation
    Inventors: Lifeng Liu, Aaron S. Wallack, Cyril C. Marrion, Jr.
  • Patent number: 11481893
    Abstract: An apparatus for inspecting components may include a processor for: determining exterior information of a first component mounted on a first printed circuit board; inspecting a mounting state of the first component by using inspection information of a second component having a first similarity value, when the first similarity value is higher than or equal to a preset reference similarity value, and updating the inspection information of the plurality of components by using the inspection information of the first component matched with the inspection information of the second component, when it is determined that the mounting state of the first component is good.
    Type: Grant
    Filed: April 9, 2021
    Date of Patent: October 25, 2022
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Seung Bum Han, Filip Lukasz Piekniewski, Dae Sung Koo, Woo Young Lim, Jin Man Kang, Ki Won Park
  • Patent number: 11461877
    Abstract: The present disclosure provides an image inpainting method, an image inpainting system, and a flat panel detector. The method includes: acquiring a first image, performing connected domain analysis on the first image, and extracting the defective pixels in the first image; and classifying the defective pixels into isolated point defects, cluster defects, and bad line defects according to the size and shape of the connected domain; outputting a second image and inpainting the second image according to the type of the defective pixels. This method can not only effectively inpaint defective pixels, but also well preserve the details of the original image.
    Type: Grant
    Filed: February 21, 2019
    Date of Patent: October 4, 2022
    Assignee: IRAY TECHNOLOGY COMPANY LIMITED
    Inventors: Yongli Zhai, Nan Zhang, Zhiqiang Fang
  • Patent number: 11450012
    Abstract: A rendered image is aligned with a scanning electron microscope (SEM) image to produce an aligned rendered image. A reference image is aligned with the SEM image to produce an aligned reference image. A threshold probability map also is generated. Dynamic compensation of the SEM image and aligned reference image can produce a corrected SEM image and corrected reference image. A thresholded defect map can be generated and the defects of the thresholded probability map and the signal-to-noise-ratio defects of the thresholded defect map are filtered using a broadband-plasma-based property to produce defect-of-interest clusters.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: September 20, 2022
    Assignee: KLA Corporation
    Inventors: Santosh Bhattacharyya, Ge Cong, Sanbong Park, Boshi Huang
  • Patent number: 11438512
    Abstract: An electronic apparatus, when an object included in a captured image is not moving, indicates a region of the captured image corresponding to the object in a first display appearance, and when the object is moving in the captured image, indicates the region in a second display appearance that is at least different in shape from the first display appearance.
    Type: Grant
    Filed: March 10, 2021
    Date of Patent: September 6, 2022
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Wataru Suzuki
  • Patent number: 11421983
    Abstract: A system for generating a three-dimensional height image of a reflective target includes an illumination source configured to generate a patterned illumination on the reflective target, and an imaging system configured to acquire an image of the patterned illumination on the reflective target, the illumination source and camera being aligned relative to the target such that the camera acquires a specular image of the patterned illumination. The system further including a controller coupled to the illumination source and the camera configured to generate a first height image of the target based on the acquired image, the first height image being used by the controller to determine a position, a height, and a tilt of the target and calculate an error function based on the determination to compensate the first height image for the calculated error.
    Type: Grant
    Filed: January 24, 2019
    Date of Patent: August 23, 2022
    Assignee: CyberOptics Corporation
    Inventors: Eric P. Rudd, Carl E. Haugan, Paul R. Haugen
  • Patent number: 11421981
    Abstract: A method for evaluating a leadframe surface includes positioning a leadframe on a measurement apparatus at a first predetermined distance relative to an end portion of a light source of an optical sensor; irradiating a predetermined area on a surface of the leadframe with light having a single predetermined wavelength from the light source; receiving, with a light receiver of the optical sensor, reflected light from the predetermined area on the surface of the leadframe, and converting the reflected light into an electric signal; determining a reflection intensity value of the predetermined area on the surface of the leadframe based on the electric signal; and calculating a reflection ratio of the predetermined area on the surface of the leadframe based on the reflection intensity value and a predetermined reference reflection intensity value associated with the light source.
    Type: Grant
    Filed: September 10, 2019
    Date of Patent: August 23, 2022
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Hung-Yu Chou, Chien-Hao Wang, Tse-Tsun Chiu, Fu-Kang Lee, Liang-Kang Su
  • Patent number: 11408832
    Abstract: Various surface and structural defects are currently inspected visually. This method is labor intensive, requiring large maintenance man hours, and is prone to errors. To streamline this process, herein is described an automated inspection system and apparatus based on several optical technologies that drastically reduces inspection time, provides accurate detection of defects, and provides a digital map of the location of defects. The technology uses multiple sensing/imaging modalities such as ring illumination angular scanning, coherent speckle scanning, multi-spectral imaging such as ultraviolet (UV), visible and infrared (IR) spectrums, and polarization detection.
    Type: Grant
    Filed: December 21, 2021
    Date of Patent: August 9, 2022
    Inventor: Araz Yacoubian
  • Patent number: 11352691
    Abstract: A method for locating, in a deposition line including a succession of compartments, an origin of a defect affecting a stack of thin layers deposited on a substrate in the compartments, in which each thin layer is deposited in one or more successive compartments of the deposition line and pieces of debris remaining on the surface of a thin layer deposited in a compartment act as masks for the subsequent depositions of thin layers and are the origin of defects, includes obtaining at least one image showing the defect, determining, from the at least one image, a signature of the defect, the signature containing at least one characteristic representative of the defect, and identifying at least one compartment of the deposition line liable to be the origin of the defect from the signature of the defect and using reference signatures associated with the compartments of the deposition line.
    Type: Grant
    Filed: June 22, 2017
    Date of Patent: June 7, 2022
    Assignee: SAINT-GOBAIN GLASS FRANCE
    Inventors: Bernard Nghiem, Yohan Faucillon, Gregoire Mathey, Thierry Kauffmann
  • Patent number: 11353389
    Abstract: Examples disclosed herein relate to system and method for detecting the size of a particle in a fluid. The system includes a conduit for transporting a fluid and a sample area. Some of the fluid passes through the sample area. A first imaging device has an optical lens and a digital detector. A laser source emits a first laser beam. The digital detector generates a metric of an initial intensity of a scattered light that passes through the optical lens. The scattered light is scattered from particles passing through the sample area, and includes light from the first laser beam, which passes through the sample area. A controller outputs a corrected particle intensity based upon a comparison of the initial intensity to data representative of intensity of a focused and defocused particle. The corrected particle intensity generates a corrected metric corresponding to an actual size of the particles.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: June 7, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Mehdi Vaez-Iravani, Avishek Ghosh
  • Patent number: 11321822
    Abstract: A method, computer system, and a computer program product for analyzing visual defects is provided. The present invention may include generating a template image. The present invention may include capturing a test image. The present invention may include performing an image registration between the template image and the test image. The present invention may include generating a registered test image. The present invention may include performing an image difference analysis between the registered test image and the template image. The present invention may include generating a differential image. The present invention may include synthesizing the registered, differential image, and template image. The present invention may include generating a synthetic image. The present invention may include inputting the synthetic image into a multi-scale detection network. The present invention may include generating a defect map.
    Type: Grant
    Filed: July 30, 2020
    Date of Patent: May 3, 2022
    Assignee: International Business Machines Corporation
    Inventors: Chao Xin, Zhuo Cai, Hong Bing Zhang, Dan Zhang, Guang Qing Zhong
  • Patent number: 11306386
    Abstract: A mask repairing apparatus may include a stage, a stereoscopic imaging unit to measure a stereoscopic image of a mask on the stage, a control unit to compare the stereoscopic image with a normal image of the mask and to produce a defect image of the mask, and a laser unit to irradiate a laser beam onto a deficient part of the mask, under control of the control unit. The control unit may control the laser unit, based on the defect image, such that the laser beam is sequentially irradiated onto m multiple layers of the deficient part while repeatedly moving in a first direction and a second direction crossing each other.
    Type: Grant
    Filed: August 20, 2019
    Date of Patent: April 19, 2022
    Assignee: Samsung Display Co., Ltd.
    Inventors: Youngmin Moon, Sungsoon Im, Jungwoo Ko, Sangmin Lee, Youngchul Lee, Kyuhwan Hwang
  • Patent number: 11293853
    Abstract: Disclosed are systems and methods for measuring vibrational spectra of a living cells and tissue that includes a low noise consistent optical source creating a photon beam, a support device, a photon-to-electron converter/detector outputting a streamed analog electrical signal, an analog-to-digital converter, and a digital signal processor with specialized software for measuring and characterizing the signal contained in the photon beam and its subsequent detector's streamed analog converted to digital signal. Motion of the living sample causes modulation to the photon beam as it passes through the living samples by how much of the photon beam is blocked, absorbed or deflected. In addition, specific sub-cellular vibrational features can be segregated utilizing fluorescent markers.
    Type: Grant
    Filed: September 10, 2019
    Date of Patent: April 5, 2022
    Assignee: Digital Harmonic LLC
    Inventors: Paul Reed Smith, William G. Nelson, Shane G. W. Morris, Sandor Szalay, Jonathan B. Coulter, Frederick M. Slay, Eric K. Pritchard
  • Patent number: 11289614
    Abstract: A photoelectric conversion element includes: a plurality of pixels that are formed on a common semiconductor substrate and each of which includes an avalanche photodiode; a first line that is formed on the semiconductor substrate, is electrically connected to two or more first pixels included in the plurality of pixels, and collectively extracts output currents from the two or more first pixels; and a second line that is formed on the semiconductor substrate, is electrically connected to two or more second pixels included in the plurality of pixels, and collectively extracts output currents from the two or more second pixels. A light receiving area of each first pixel is larger than a light receiving area of each second pixel.
    Type: Grant
    Filed: December 12, 2016
    Date of Patent: March 29, 2022
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventors: Koei Yamamoto, Shigeyuki Nakamura, Terumasa Nagano, Kenichi Sato
  • Patent number: 11280833
    Abstract: A testing device and a method for testing a device under test are provided. The testing device comprises at least two signal generators, at least two numerically controlled oscillators, at least two white gaussian noise generators, at least two digital filters, each of which comprising a respective transfer function Hi, at least two adders, at least two digital-to-analog converters, and an analog processor.
    Type: Grant
    Filed: January 4, 2019
    Date of Patent: March 22, 2022
    Assignee: Rohde & Schwarz GmbH & Co. KG
    Inventor: Stefan Schmidt
  • Patent number: 11276155
    Abstract: There are provided an automatic inspection system and an automatic inspection method capable of suppressing power consumption of a measurement device. A measurement device includes a sensor unit 11 that measures an inspection target 3, a parameter management unit 13 that determines a predetermined parameter set for the sensor unit based on parameter determination information received from a data collection device 2, and a measurement data generation unit 12 that generates measurement data by analyzing data obtained by the sensor unit measuring the inspection target by using the predetermined parameter. The data collection device includes a parameter determination information generation unit 23 that generates parameter determination information, and a measurement data acquisition unit 21 that specifies the parameter determination information for the measurement device, requests the measurement device to acquire the measurement data, and stores the measurement data acquired from the measurement device.
    Type: Grant
    Filed: June 6, 2018
    Date of Patent: March 15, 2022
    Assignee: HITACHI, LTD.
    Inventors: Jumpei Honda, Takuma Nishimura, Hiroshige Kashiwabara
  • Patent number: 11199503
    Abstract: A method for adjusting a condition for determining a quality of an inspection object comprises: acquiring measurement values for the structures of a plurality of inspection objects; determining whether each of the plurality of inspection objects is good or defective by comparing error values of the measurement values with respect to design values with a predetermined reference value; identifying one or more inspection objects in which determination error has occurred among the plurality of inspection objects; generating and outputting an inspection result graph including the number of inspection objects according to the error values, the reference value, and the number of the one or more inspection objects in which the determination error has occurred; updating the reference value according to a graphical input; and redetermining whether each of the plurality of inspection objects is good or defective by comparing the error values with the updated reference value.
    Type: Grant
    Filed: November 3, 2017
    Date of Patent: December 14, 2021
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Dae Sung Koo, Yong Kim, Ki Won Park
  • Patent number: 11196967
    Abstract: An image inspection device has a platform, a controlling unit, multiple image capture units, and a discharging unit. The platform has a carrier being capable of rotating for carrying products under inspection. The controlling unit is capable of controlling rotation of the carrier. The image capture units are disposed around the platform and electrically connected to the controlling unit. Each image capture unit has a zoom lens electrically connected to the controlling unit for transmitting digital information of images captured by the zoom lens to the controlling unit. The discharging unit is configured to move the products under inspection away from the carrier and electrically connected to the controlling unit.
    Type: Grant
    Filed: June 3, 2020
    Date of Patent: December 7, 2021
    Assignees: He Tong Technology Co., Ltd., Wen Chin Technology Co., Ltd.
    Inventors: Yi-Ning Lee, Ying-Nan Chen
  • Patent number: 11169872
    Abstract: Provided is a circuit device that can appropriately detect an error in a display image obtained by performing image processing, an electronic apparatus, an error detection method, and the like. A circuit device includes an image acquisition circuit configured to acquire image data, and an index acquisition circuit configured to obtain an index for performing error detection on a display image based on the image data. The index acquisition circuit obtains an index that represents the degree of dissimilarity between a foreground image, which is an image of a given region of the display image, and a background image, of the display image, corresponding to a background of the foreground image. The index is obtained based on pixel values of the display image.
    Type: Grant
    Filed: September 12, 2018
    Date of Patent: November 9, 2021
    Assignee: SEIKO EPSON CORPORATION
    Inventors: Kumar anandabairavasamy Anand, Peter van baarsen John, Wittmeir Manfred
  • Patent number: 11162995
    Abstract: According to one embodiment, an arithmetic processor generates unknown code distribution information, for an unknown test code to which no failure mode has been specified, and determines whether labeling of the unknown code distribution information is performable. The arithmetic processor determines whether the unknown code distribution information agrees to known code distribution information, when labeling of the unknown code distribution information is performable. The arithmetic processor determines whether the unknown code satisfies a classification minimum requirement of the agreed known code. The arithmetic processor presents a content of EFA measurement that assumes a failure mode corresponding to the known code, when the unknown code distribution information agrees to the known code distribution information, and the unknown code satisfies the classification minimum requirement of the known code.
    Type: Grant
    Filed: July 23, 2018
    Date of Patent: November 2, 2021
    Assignee: Toshiba Memory Corporation
    Inventor: Yukinobu Hayashida
  • Patent number: 11156564
    Abstract: A method for detecting dirt on a screen, a device for the same, an electronic device and a computer-readable storage medium are provided. The method includes acquiring a first image of the screen when the screen is displaying a first picture, determining a dirt detection area in the first image with a mask image, and detecting a position of the dirt within the dirt detection area. With the method provided by the embodiments of the disclosure, the positon of dirt on the screen could be detected based on at most two images of the screen. The time for detecting dirt on the screen is greatly shortened, and the efficiency of detection is enhanced.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: October 26, 2021
    Assignees: Beijing BOE Optoelectronics Technology Co., Ltd., BOE Technology Group Co., Ltd.
    Inventors: Xiaolei Liu, Yunqi Wang, Minglei Chu, Lili Chen, Hao Zhang
  • Patent number: 11151724
    Abstract: An automatic detecting method and an automatic detecting apparatus using the same are provided. The automatic detecting apparatus includes an inputting unit, a dividing unit, a contouring unit, a range analyzing unit, a boundary analyzing unit, an edge detecting unit, an expanding unit and an overlapping unit. The dividing unit is used for dividing an overlooking image into four clusters via a clustering algorithm. The contouring unit is used for obtaining a contour. The range analyzing unit is used for obtaining a detecting range. The boundary analyzing unit is used for obtaining a circular boundary in the detecting range. The edge detecting unit is used for obtaining a plurality of edges in the circular boundary. The expanding unit is used for expanding the edges to obtain a plurality of expanded edges. The overlapping unit is used for overlapping the expanded edges and the contour to obtain a defect pattern.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: October 19, 2021
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Tzu-Ping Kao, Ching-Hsing Hsieh, Chia-Chi Chang, Ju-Te Chen, Chen-Hui Huang, Cheng-Hsien Chen
  • Patent number: 11132780
    Abstract: A target detection method comprises performing corner point feature extraction processing on an input image to obtain a pair of target corner points, generating a target frame based on the pair of target corner points, and outputting a target detection result of a target object when determining that a calibration area in the target frame comprises a target feature point.
    Type: Grant
    Filed: February 14, 2020
    Date of Patent: September 28, 2021
    Assignee: HUAWEI TECHNOLOGIES CO., LTD.
    Inventors: Lingxi Xie, Kaiwen Duan, Qi Tian
  • Patent number: 11126089
    Abstract: A method for determining corrections to features of a mask. The method includes obtaining (i) a pattern group for a design layout, and (ii) defect inspection data of a substrate imaged using the mask used in the patterning process for the design layout; determining, based on the defect inspection data, a defect map associated with the pattern group, wherein the defect map comprises locations of assist features having a relatively higher probability of being printed on the substrate compared to other patterns of the design layout; and determining, via simulating an optical proximity correction process using data associated with the defect map, corrections to the features of the mask.
    Type: Grant
    Filed: April 14, 2020
    Date of Patent: September 21, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Wei Fang, Lingling Pu, Zhichao Chen, Haili Zhang, Pengcheng Zhang
  • Patent number: 11089229
    Abstract: Automatic exposure control of an in-vehicle camera is performed under dark driving environments such as at night. An in-vehicle camera system includes a vehicle camera mounted in a vehicle configured to capture surroundings of the vehicle, and control circuitry that controls an exposure level of an image captured by the vehicle camera, the control of the exposure level being based on brightness information of a detection area set within the image, the detection area being a portion of the captured image and configured to output the image having exposure control performed thereon to a display.
    Type: Grant
    Filed: January 27, 2020
    Date of Patent: August 10, 2021
    Assignee: SONY GROUP CORPORATION
    Inventor: Satoshi Nakayama
  • Patent number: 11079336
    Abstract: A semiconductor inspection apparatus of embodiments includes: a light source irradiating a semiconductor package, the semiconductor package including: a sealing portion having an upper surface, a lower surface, a first side surface, and a second side surface; and a first lead extending from the first side surface, the first lead having a first wide width portion and a first narrow width portion, the first wide width portion being between the first side surface and the first narrow width portion; an imaging device capturing a first image of the first lead; a first calculator calculating a first area of a first notch region located on one side of the first narrow width portion and a second area of a second notch region located on the other side of the first narrow width portion; and a second calculator calculating a ratio of the first area and the second area.
    Type: Grant
    Filed: March 4, 2020
    Date of Patent: August 3, 2021
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Electronic Devices & Storage Corporation
    Inventor: Ikuo Motonaga
  • Patent number: 11073380
    Abstract: A system for generating a three-dimensional height image of a reflective test target includes an illumination source configured to generate a patterned illumination on the test target, an imaging system configured to acquire an image of the patterned illumination on the test target, and a variable focus optical system configured to cause the camera to image the test target with at least two distinct focus positions, the illumination source and camera being aligned relative to the test target such that the camera acquires a specular image of the patterned illumination. The system further including a controller coupled to the illumination source, the camera and the variable focus optical system, the controller being configured to generate a height image of the test target based on the acquired image of the patterned illumination using at least two distinct focal positions.
    Type: Grant
    Filed: January 24, 2019
    Date of Patent: July 27, 2021
    Assignee: CyberOptics Corporation
    Inventors: Eric P. Rudd, Carl E. Haugan, Paul R. Haugen
  • Patent number: 11067903
    Abstract: Systems and methods for measuring a critical dimension of a photoresist are described. Measuring a critical dimension of a photoresist may include obtaining intensity data, setting a plurality of unit areas, extracting the intensity data, calculating corrected intensity data, and calculating critical dimension data. Obtaining the intensity data by scanning the target substrate may include setting a first scan area and a second scan area, obtaining first intensity data, and obtaining second intensity data, and comparing the first intensity data and the second intensity data.
    Type: Grant
    Filed: April 3, 2020
    Date of Patent: July 20, 2021
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventor: Sang Heon Ye
  • Patent number: 11010888
    Abstract: A computer-implemented method is provided for image-based defect detection. The method includes performing, by a processor device, template matching and subtraction on a set of training images and at least one template image to obtain a set of difference images. The difference images have defects, if any, highlighted therein. The method further includes generating, by the hardware processor applying a binary classification model to each of the training images in the set, activation heatmaps. The method also includes identifying, by the hardware processor, rough defect areas of interest in the activation heatmaps. The method additionally includes super-imposing, by the hardware processor, the activation heatmaps onto the difference images to obtain a set of super-imposed images, and highlight, as true defect areas, any areas in the super-imposed images having the defects from the difference images that overlap with the rough defect areas of interest from the activation heatmaps.
    Type: Grant
    Filed: October 29, 2018
    Date of Patent: May 18, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Guo Qiang Hu, Jun Zhu, Peng Ji, Jing Chang Huang
  • Patent number: 10997714
    Abstract: An apparatus for inspecting components may include a processor for: determining exterior information of a first component mounted on a first printed circuit board; inspecting a mounting state of the first component by using inspection information of a second component having a first similarity value, when the first similarity value is higher than or equal to a preset reference similarity value, and updating the inspection information of the plurality of components by using the inspection information of the first component matched with the inspection information of the second component, when it is determined that the mounting state of the first component is good.
    Type: Grant
    Filed: February 13, 2018
    Date of Patent: May 4, 2021
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Seung Bum Han, Filip Lukasz Piekniewski, Dae Sung Koo, Woo Young Lim, Jin Man Kang, Ki Won Park
  • Patent number: 10958899
    Abstract: In an example, a computing device extracts a first pre-defined region of interest (ROI), a second pre-defined ROI, and a third pre-defined ROI from an image captured by the imaging device. Further, a first signal-to-noise ratio (SNR), second SNR, and a third SNR is calculated for the first, the second, and the third pre-defined ROIs respectively. The computing device computes a variance of the first SNR with respect to the second SNR and the third SNR, the second SNR with respect to the third SNR and the first SNR, and the third SNR with respect to the first SNR and the second SNR. Based on the variance, a pre-defined weight is associated with the first SNR, the second SNR, and the third SNR to obtain weighted SNR values. Based on the weighted SNR values, the computing device evaluates the dynamic range of the imaging device.
    Type: Grant
    Filed: July 26, 2017
    Date of Patent: March 23, 2021
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Yow-Wei Cheng, Emily Ann Miginnis
  • Patent number: 10923526
    Abstract: First and second images of a semiconductor die or portion thereof are generated. Generating each image includes performing a respective instance of time-domain integration (TDI) along a plurality of pixel columns in an imaging sensor, while illuminating the imaging sensor with light scattered from the semiconductor die or portion thereof. The plurality of pixel columns comprises pairs of pixel columns in which the pixel columns are separated by respective channel stops. While performing a first instance of TDI to generate the first image, a first bias is applied to electrically conductive contacts of the channel stops. While performing a second instance of TDI to generate the second image, a second bias is applied to the electrically conductive contacts of the channel stops. Defects in the semiconductor die or portion thereof are identified using the first and second images.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: February 16, 2021
    Assignee: KLA Corporation
    Inventors: Tzi-Cheng Lai, Jehn-Huar Chem, Stephen Biellak
  • Patent number: 10872403
    Abstract: A method of predicting virtual metrology data for a wafer lot that includes receiving first image data from an imager system, the first image data relating to at least one first wafer lot, receiving measured metrology data from metrology equipment relating to the at least one first wafer lot, applying one or more machine learning techniques to the first image data and the measured metrology data to generate at least one predictive model for predicting at least one of virtual metrology data or virtual cell metrics data of wafer lots, and utilizing the at least one generated predictive model to generate at least one of first virtual metrology data or first virtual cell metrics data for the first wafer lot.
    Type: Grant
    Filed: August 10, 2018
    Date of Patent: December 22, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Amitava Majumdar, Qianlan Liu, Pradeep Ramachandran, Shawn D. Lyonsmith, Steve K. McCandless, Ted L. Taylor, Ahmed N. Noemaun, Gordon A. Haller
  • Patent number: 10867382
    Abstract: A method is provided for detecting mura defects in a master panel during fabrication, the master panel containing multiple flat screen displays. The method includes preparing a combined image from image data of the master panel; enhancing the quality of the combined image, including removing artifacts from the combined image; filtering the enhanced quality combined image to detect local mura defects, the local mura defects including at least one structured pattern of defined geometric shapes; applying different candidate patterns to the filtered combined image; selecting one of the candidate patterns as a defect detection pattern, the defect detection pattern being closest to the structured pattern of defined geometric shapes of the detected local mura defects; and displaying at least a portion of the defect detection pattern on a display, together with the quality-enhanced combined image.
    Type: Grant
    Filed: March 29, 2019
    Date of Patent: December 15, 2020
    Assignee: Keysight Technologies, Inc.
    Inventors: Manuel Moertelmaier, Tomonori Ura, Yosuke Komma, Michael Dieudonne
  • Patent number: 10838191
    Abstract: A method of operating a microscope comprises recording a first image I1h of a sample, wherein the first image contains a first feature F1; recording a second image I2h of the sample, wherein the second image contains a second feature F2 arranged at a distance from the first feature; displacing the sample relative to the microscope by a displacement ; recording a third image I3h of the sample, wherein the third image contains the second feature; recording a fourth image I4h of the sample, wherein the fourth image contains a third feature F3 arranged at a distance from the second feature; and determining a position of the third feature relative to the first feature based on the first, second, third and fourth images.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: November 17, 2020
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Susan Candell, Thomas Anthony Case, Lorenz Lechner
  • Patent number: 10824137
    Abstract: A mounting board manufacturing system includes a component placing device; a library; an operation information counter; and a corrector. The component data includes a control parameter for executing the component placing work by the component placing device, and information regarding the component. The operation information counter counts a score of the component placing work for each component data based on operation information including a result executed by the component placing device. The corrector selects correction component data that is a target to be corrected from a plurality of the component data based on the score and corrects the control parameter of the correction component data.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: November 3, 2020
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Inlin Tan, Takuya Yamazaki, Takaaki Yokoi, Narumi Atsuta, Atsushi Nakazono
  • Patent number: 10818000
    Abstract: Data indicative of a group of defect candidates may be obtained. The data may be indicative of a group of defect candidates and may include values of attributes for each defect candidate of the group of defect candidates. Sub-groups of defect candidates may be iteratively selected for review using a review recipe to classify the defect candidates in each selected sub-group based on the values of attributes of respective defect candidates and classification results of previously reviewed defect candidates. The sub-groups may be selected until a sampling stop condition is fulfilled to obtain a classification output for the wafer. Instructions specifying at least one of the sampling stop condition, the inspection recipe, or the review recipe may be altered and additional defect candidates in a next wafer may be classified by using the altered instructions.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: October 27, 2020
    Assignee: Applied Materials Israel Ltd.
    Inventors: Saar Shabtay, Idan Kaizerman, Amir Watchs
  • Patent number: 10818561
    Abstract: Embodiments include process monitoring devices and methods of using such process monitoring devices. In one embodiment, the process monitoring device includes a substrate. The process monitoring device may also include a plurality of sensors formed on a support surface of the substrate. According to an embodiment, each sensor is capable of producing an output signal that corresponds to a processing condition. Furthermore, embodiments include a process monitoring device that includes a network interface device that is formed on the substrate. According to an embodiment each of the plurality of sensors is communicatively coupled to the network interface device. The network interface device allows for the output signals obtained from the sensors to be wirelessly transmitted to an external computer during processing operations.
    Type: Grant
    Filed: January 28, 2016
    Date of Patent: October 27, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Leonard Tedeschi, Kartik Ramaswamy
  • Patent number: 10782516
    Abstract: A method of operating a microscope comprises recording a first image I1h of a sample, wherein the first image contains a first feature F1; recording a second image I2h of the sample, wherein the second image contains a second feature F2 arranged at a distance from the first feature; displacing the sample relative to the microscope by a displacement ; recording a third image I3h of the sample, wherein the third image contains the second feature; recording a fourth image I4h of the sample, wherein the fourth image contains a third feature F3 arranged at a distance from the second feature; and determining a position of the third feature relative to the first feature based on the first, second, third and fourth images.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: September 22, 2020
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Susan Candell, Thomas Anthony Case, Lorenz Lechner
  • Patent number: 10761135
    Abstract: In some examples, a device includes a main array of circuit elements representing a main measurement range of parameter values and a test array of circuit elements representing a test measurement range of parameter values, the test measurement range being less than the main measurement range. The device also includes processing circuitry configured to select a portion of the main array of circuit elements representing a partial measurement range, the partial measurement range being less than or equal to the test measurement range. The processing circuitry is also configured to test the portion of the main array of circuit elements using the test array of circuit elements.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: September 1, 2020
    Assignee: Infineon Technologies AG
    Inventor: Erwin Schmalzl
  • Patent number: 10755133
    Abstract: A system and method for identifying line Mura defects on a display. The system is configured to generate a filtered image by preprocessing an input image of a display using at least one filter. The system then identifies line Mura candidates by converting the filtered image to a binary image, counting line components along a slope in the binary image, and marking a potential candidate location when the line components along the slope exceed a line threshold. Image patches are then generated with the candidate locations at the center of each image patch. The image patches are then classified using a machine learning classifier.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: August 25, 2020
    Assignee: Samsung Display Co., Ltd.
    Inventor: Janghwan Lee
  • Patent number: 10746668
    Abstract: In the measurement of properties of a wafer substrate, such as Critical Dimension or overlay a sampling plan is produced 2506 defined for measuring a property of a substrate, wherein the sampling plan comprises a plurality of sub-sampling plans. The sampling plan may be constrained to a predetermined fixed number of measurement points and is used 2508 to control an inspection apparatus to perform a plurality of measurements of the property of a plurality of substrates using different sub-sampling plans for respective substrates, optionally, the results are stacked 2510 to at least partially recompose the measurement results according to the sample plan.
    Type: Grant
    Filed: April 10, 2019
    Date of Patent: August 18, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Wouter Lodewijk Elings, Franciscus Bernardus Maria Van Bilsen, Christianus Gerardus Maria De Mol, Everhardus Cornelis Mos, Hoite Pieter Theodoor Tolsma, Peter Ten Berge, Paul Jacques Van Wijnen, Leonardus Henricus Marie Verstappen, Gerald Dicker, Reiner Maria Jungblut, Chung-Hsun Li
  • Patent number: 10750649
    Abstract: A component mounting system and a method for inspecting mounted components are provided. A component mounting system according to an embodiment, comprises a solder inspection apparatus comparing coordinate information of the solder, which is obtained through measurement of a substrate to which solder is applied, with reference coordinate information to generate coordinate correction data; and a first mounting inspection apparatus comparing a first measurement data obtained by measuring mounting state of a component when the component is mounted based on the coordination correction data through a component mounting apparatus, with the coordinate correction data to verify whether a component is mounted on a position corrected based on the coordinate correction data. In this manner, by adding the verification function for the performance function of the component mounting apparatus to the inspection apparatus, it is possible to monitor the operation state of the component mounting apparatus in each process step.
    Type: Grant
    Filed: November 20, 2015
    Date of Patent: August 18, 2020
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventor: Jeongyeob Kim