On Patterned Or Topographical Surface (e.g., Wafer, Mask, Circuit Board) Patents (Class 356/237.5)
  • Patent number: 11961221
    Abstract: There is provided a system and method of runtime defect examination of a semiconductor specimen, comprising obtaining a first image representative of at least part of the semiconductor specimen, the first image acquired by an examination tool configured with a first focus plane; estimating whether the first image is in focus using a machine learning (ML) model, wherein the ML model is previously trained for classifying images into focused images and defocused images; upon an estimation that the first image is out of focus, performing focus calibration on the examination tool to select a second focus plane associated with an optimal focus score; and obtaining a second image acquired by the examination tool configured with the second focus plane, and estimating whether the second image is in focus using the ML model. The second image, upon being estimated as being in focus, is usable for defect examination on the specimen.
    Type: Grant
    Filed: October 7, 2021
    Date of Patent: April 16, 2024
    Assignee: Applied Materials Israel Ltd.
    Inventors: Dror Shemesh, Miriam Brook
  • Patent number: 11941799
    Abstract: Data is received that includes a feed of images of a plurality of objects passing in front of an inspection camera module forming part of a quality assurance inspection system. Within each image, it is detected whether an object is present within the image. Instance identifiers are assigned to each object. A single image is identified in which the object is optimally represented for each object using the corresponding instance identifier. These identified images are provided to a consuming application or process for quality assurance analysis.
    Type: Grant
    Filed: October 26, 2021
    Date of Patent: March 26, 2024
    Assignee: Elementary Robotics, Inc.
    Inventors: Dat Do, Arye Barnehama
  • Patent number: 11914289
    Abstract: The present invention refers to a method for determining an effect of one or more of pixels to be introduced into a substrate of a photolithographic mask, the photolithographic mask having one or more pattern elements, wherein the one or more pixels serve to at least partly correct one or more errors of the photolithographic mask, the method comprising: determining the effect of the one or more introduced pixels by determining a change in birefringence of the substrate of the photolithographic mask having the one or more pattern elements.
    Type: Grant
    Filed: January 4, 2021
    Date of Patent: February 27, 2024
    Assignee: Carl Zeiss SMS Ltd.
    Inventors: Joachim Welte, Uri Stern, Kujan Gorhad, Vladimir Dmitriev
  • Patent number: 11898970
    Abstract: An EUV mask inspection device includes: an EUV light source for outputting EUV light with a wavelength ranging from 5 nm to 15 nm; a multilayer reflection zone plate having an EUV reflection multilayer film, which is a planar substrate, and a zone plate pattern; and an EUV lighting unit for creating EUV illumination light by obtaining 1st diffraction light reflected after radiating EUV light output from the EUV light source to the multilayer reflection zone plate. The EUV mask inspection device further includes: an aperture for providing monochromatic light or reducing a light radiation area by reducing a linewidth of optical wavelength radiated from the EUV lighting unit; a transmissive zone plate for forming expanded light by collecting reflected or scattered light after radiating light passing through the aperture to the EUV mask; and an image sensor for measuring intensity of light through EUV mask measured light.
    Type: Grant
    Filed: January 7, 2022
    Date of Patent: February 13, 2024
    Assignee: ESOL Inc.
    Inventor: Dong Gun Lee
  • Patent number: 11886125
    Abstract: A method of inferring a value for at least one local uniformity metric relating to a product structure, the method including: obtaining intensity data including an intensity image relating to at least one diffraction order obtained from a measurement on a target; obtaining at least one intensity distribution from the intensity image; determining, from the at least one intensity distribution, an intensity indicator expressing a variation of either intensity over the at least one diffraction order, or a difference in intensity between two complimentary diffraction orders over the intensity image; and inferring the value for the at least one local uniformity metric from the intensity indicator.
    Type: Grant
    Filed: February 2, 2021
    Date of Patent: January 30, 2024
    Assignee: ASML NETHERLANDS B. V.
    Inventors: Simon Gijsbert Josephus Mathijssen, Kaustuve Bhattacharyya
  • Patent number: 11852981
    Abstract: An overlay error measurement method includes disposing a lower-layer pattern over a substrate that includes disposing a first pattern having a first plurality of first sub-patterns extending in a first interval along a first direction and being arranged with a first pitch in a second direction crossing the first direction. The method includes disposing a second pattern having a second plurality of second sub-patterns extending in a second interval along the first direction and being arranged with a second pitch, smaller than the first pitch, in the second direction crossing the first direction. The second sub-patterns are disposed interleaved between the first sub-patterns. The method includes disposing an upper-layer pattern including a third pattern having the first pitch and at least partially overlapping with the lower-layer pattern over the lower-layer pattern and determining an overlay error between the lower-layer pattern and the upper-layer pattern.
    Type: Grant
    Filed: December 23, 2020
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chih Hsieh, Ming-Hsiao Weng
  • Patent number: 11846498
    Abstract: The objective of the present invention is to detect defects in a structure accurately in a non-contact manner. An optical path length converting unit (320) is disposed between a specimen being inspected and an image capturing element (310) in such a way as to cover part of a field of view of the image capturing element (310). An image analyzing unit (330) uses images captured by the image capturing element (310) to calculate amounts of displacement within the surface of the specimen, obtained using two fields of view of the image capturing element (310) not covered/covered by the optical path length converting unit (320). An out-of-plane displacement calculating unit (340) calculates an out-of-plane displacement of the specimen on the basis of the calculated amounts of displacement.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: December 19, 2023
    Assignee: NEC CORPORATION
    Inventor: Hiroshi Imai
  • Patent number: 11832026
    Abstract: In one embodiment, a substrate imaging apparatus includes: a rotary holding unit that holds and rotates a substrate; a mirror member having a reflecting surface that opposes an end face of the substrate and a peripheral portion of a back surface of the substrate held by the rotary holding unit, the reflecting surface being inclined with respect to a rotation axis of the rotary holding unit; and a camera having an imaging device that receives both first light and second light through a lens, the first light coming from a peripheral portion of a front surface of the substrate held by the rotary holding unit, and the second light being a reflected light of second light which comes from the end face of the substrate held by the rotary holding unit and is reflected by the reflecting surface.
    Type: Grant
    Filed: March 2, 2021
    Date of Patent: November 28, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Norihisa Koga, Tadashi Nishiyama, Yasuaki Noda
  • Patent number: 11803961
    Abstract: Disclosed herein is s computer-based method for obtaining and analyzing multi-die scan data of a patterned wafer. The method includes sequentially implementing an operation of scanning a respective plurality of sets of slices on a wafer, and, per each slice segment in a multiplicity of slice segments in the plurality of sets of slices, an operation of performing die-to-multi-die (D2MD) analysis of scan data of the slice segment in order to detect defects in the slice segment. Each set of slices may constitute a subset of the totality of slices on the respective die-column. Sets scanned in a same implementation are analogous to one another, thereby facilitating—in the die-to-multi-die analysis of scan data of a slice segment—taking into account, as reference, scan data of areas on other die-columns, which were scanned in the same implementation.
    Type: Grant
    Filed: October 6, 2021
    Date of Patent: October 31, 2023
    Assignee: Applied Materials Israel Ltd.
    Inventors: Ron Naftali, Yariv Simovitch, Guy Shwartz, Ido Almog
  • Patent number: 11714120
    Abstract: An inspection system includes a light source, a mirror, Galvano mirrors, a casing that holds the mirror and the Galvano mirrors inside and includes an attachment portion for attaching an optical element, and a control unit that controls a deflection angle of the Galvano mirrors, wherein the control unit controls the deflection angle so that an optical path optically connected to a semiconductor device is switched between a first optical path passing through the Galvano mirrors and the mirror, and a second optical path passing through the Galvano mirrors and the attachment portion, and controls the deflection angle so that the deflection angle when switching to the first optical path has been performed and the deflection angle when switching to the second optical path has been performed do not overlap.
    Type: Grant
    Filed: October 29, 2021
    Date of Patent: August 1, 2023
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventors: Tomonori Nakamura, Yoshitaka Iwaki
  • Patent number: 11709436
    Abstract: Disclosed is a method for obtaining a computationally determined interference electric field describing scattering of radiation by a pair of structures comprising a first structure and a second structure on a substrate. The method comprises determining a first electric field relating to first radiation scattered by the first structure; determining a second electric field relating to second radiation scattered by the second structure; and computationally determining the interference of the first electric field and second electric field, to obtain a computationally determined interference electric field.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: July 25, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Patrick Warnaar, Vasco Tomas Tenner, Maurits Van Der Schaar
  • Patent number: 11675280
    Abstract: A system is disclosed. The system includes a cleaning device and a scanner device. The cleaning device is configured to clean a mask. The scanner device is coupled to the cleaning device and is configured to receive the mask, a reference image and a real-time image that is captured at the mask. The reference image includes at least one first mark image having a plurality of mapping marks on the mask. The real-time image includes at least one second mark image having the plurality of mapping marks on the mask. The scanner device is configured to map the at least one second mark image in the real-time image with the at least one first image in the reference image, when a lithography exposing process is performed. A method is also disclosed herein.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: June 13, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hao-Yu Lan, Po-Chung Cheng, Ching-Juinn Huang, Tzung-Chi Fu, Tsung-Yen Lee
  • Patent number: 11674794
    Abstract: A white light confocal optical measurement device capable of detecting abnormalities in a received light waveform; the optical measurement device includes: a light source; an optical system; a light receiving unit; and a processor configured to compute the distance from the optical system to the measurement object on the basis of a received light intensity of the wavelength components received in the light receiving unit. The processor compares a received light intensity of a wavelength component to a reference value for the wavelength component for a plurality of wavelength components in a waveform representing the light received, and detects an abnormality in the received light waveform when the amount of change in the received light intensity compared to the reference value therefor is greater than or equal to a predetermined threshold for any wavelength component in the plurality of wavelength components.
    Type: Grant
    Filed: July 26, 2019
    Date of Patent: June 13, 2023
    Assignee: OMRON Corporation
    Inventors: Hisayasu Morino, Kenichi Matoba, Takahiro Suga
  • Patent number: 11657495
    Abstract: A non-Lambertian surface inspection system for line scan is proposed. Such a system includes: a surface inspection part including a frame provided with a curved mounting hole formed on at least one of one surface and the other surface thereof and a photographing hole formed at an upper end thereof, and a plurality of first and second illumination modules installed in the mounting hole and output light in oblique lines toward an inspection object placed on a bottom surface thereof; a camera positioned above the photographing hole to photograph the inspection object positioned on the bottom surface through the photographing hole and receive an entire reception image including first and second illumination images; and a controller to control operation of the camera, selectively operate the first illumination module and the second illumination module, and extract the first illumination image and the second illumination image.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: May 23, 2023
    Assignee: HIVE VISION CO., LTD.
    Inventors: In Soo Kweon, Yeo Hak Yoon, Ung Jun Chun, Su Yeol Park
  • Patent number: 11631958
    Abstract: In a method of manufacturing surface-emitting lasers, a substrate having a major surface including a plurality of areas each provided with a plurality of surface-emitting lasers is prepared. A first laser beam emitted when a direct-current voltage is applied to each of an n number of surface-emitting lasers among the plurality of surface-emitting lasers is measured, n being an integer of 2 or greater. A second laser beam emitted when an alternating-current voltage is applied to each of an m number of surface-emitting lasers among the plurality of surface-emitting lasers is measured, m being a natural number smaller than n. Whether the n number of surface-emitting lasers are each conforming or defective is determined from a result of the measurement of the first laser beam. Whether the m number of surface-emitting lasers are each conforming or defective is determined from a result of the measurement of the second laser beam.
    Type: Grant
    Filed: April 19, 2022
    Date of Patent: April 18, 2023
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Ryosuke Kubota
  • Patent number: 11604150
    Abstract: An object is to allow for simple measurement of a bump height. There is provided a device for measuring a bump height comprising: a light sensor provided with a light source and a light-receiving element and configured to irradiate a substrate including a seed layer, a resist layer formed on the seed layer and a bump formed in an opening of the resist layer, with light emitted from the light source and to detect reflected light that is reflected from the seed layer via the resist layer and reflected light that is reflected from the bump, by the light-receiving element; and a control device configured to calculate a height of the bump relative to the seed layer, based on the reflected light from the seed layer and the reflected light from the bump and to subtract an error caused by a refractive index of the resist layer from the height of the bump calculated based on the reflected lights, so as to correct the height of the bump.
    Type: Grant
    Filed: June 27, 2019
    Date of Patent: March 14, 2023
    Assignee: EBARA CORPORATION
    Inventors: Takahisa Okuzono, Masaki Tomita, Jumpei Fujikata, Hideki Takayanagi
  • Patent number: 11600497
    Abstract: A semiconductor review tool receives absolute Z-height values for the semiconductor wafer, such as a semiconductor wafer with a beveled edge. The absolute Z-height values can be determined by a semiconductor inspection tool. The semiconductor review tool reviews the semiconductor wafer within a Z-height based on the absolute Z-height values. Focus can be adjusted to within the Z-height.
    Type: Grant
    Filed: March 31, 2020
    Date of Patent: March 7, 2023
    Assignee: KLA CORPORATION
    Inventors: Sandeep Madhogarhia, Hari Sriraman Pathangi, Rohit Bhat
  • Patent number: 11512943
    Abstract: An optical system and method are presented for use in measurements on an upper surface of a layered sample when located in a measurement plane. The optical system is configured as a normal-incidence system having an illumination channel and a collection channel, and comprises an objective lens unit and a light propagation affecting device. The objective lens unit is accommodated in the illumination and collection channels, thereby defining a common optical path for propagation of illuminating light from the illumination channel toward an illuminating region in the measurement plane and for propagation of light returned from measurement plane to the collection channel. The light propagation affecting device comprises an apertured structure located in at least one of the illumination and collection channels, and configured to provide angular obscuration of light propagation path for blocking angular segments associated with light propagation from regions outside the illuminated region.
    Type: Grant
    Filed: November 23, 2016
    Date of Patent: November 29, 2022
    Assignee: NOVA LTD
    Inventors: Danny Grossman, Shahar Gov, Moshe Vanhotsker, Guy Engel, Elad Dotan
  • Patent number: 11496633
    Abstract: There is provided an information processing apparatus. The information processing apparatus acquires image data of a document read via a scanner; detects a streak included in the image data acquired by the acquisition unit by using a parameter for detection processing of detecting a streak; transmits the image data, the parameter, and a detection result obtained by the detection unit to an external apparatus; and changes the parameter used by the detection unit upon reception of a change in the parameter from the external apparatus.
    Type: Grant
    Filed: February 4, 2021
    Date of Patent: November 8, 2022
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kosuke Yasaki
  • Patent number: 11488875
    Abstract: A semiconductor substrate measuring apparatus includes a light source to generate irradiation light having a sequence of on/off at a predetermined interval, the light source to provide the irradiation light to a chamber with an internal space for processing a semiconductor substrate using plasma, an optical device between the light source and the chamber, the optical device to split a first measurement light into a first optical path, condensed while the light source is turned on, to split a second measurement light into a second optical path, condensed while the light source is turned off, and to synchronize with the on/off sequence, and a photodetector connected to the first and second optical paths, the photodetector to subtract spectra of first and second measurement lights to detect spectrum of reflected light, and to detect plasma emission light emitted from the plasma based on the spectrum of the second measurement light.
    Type: Grant
    Filed: April 14, 2020
    Date of Patent: November 1, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Junbum Park, Younghwan Kim, Jongsu Kim, Youngjoo Lee, Yoojin Jeong
  • Patent number: 11483501
    Abstract: A blemish detection and characterization system and techniques for an optical imaging device includes determining a ratio of the light intensity of the image lost to the blemish relative to an expected light intensity of the image without the blemish. The system and technique may include receiving an image, transforming an image into a processed image with transformations and filters, as well as determining a relative magnitude of an intensity of a portion of the processed image relative to another area of the image. The system and technique may include taking an action based on the relative magnitude including rejecting a sensor, reworking the sensor, cleaning the sensor, or providing information about the blemish to a system to use in weighing data collected from the sensor.
    Type: Grant
    Filed: December 31, 2020
    Date of Patent: October 25, 2022
    Assignee: Zoox, Inc.
    Inventors: Robert Nicholas Moor, Nathan Duarte
  • Patent number: 11442024
    Abstract: In order to prevent an erroneous determination of an on-film defect, the sensitivity of the post-inspection is reduced so that a film swelling due to a minute defect would not be detected. Classification is performed to determine whether a defect is at least one of an on-film defect and a film swelling, by performing a coordinate correction on the result of a post-inspection by an actual-defect fine alignment using the result of a pre-inspection performed with two-stage thresholds, and by checking defects against each other. In addition, classification is performed to determine whether a defect is at least one of an on-film defect and a film swelling by, during the post-inspection, preparing instruction data from information of the refractive index and thickness of a film formed on a wafer and comparing the instruction data with a signal intensity ratio of a detection system.
    Type: Grant
    Filed: September 11, 2017
    Date of Patent: September 13, 2022
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takanori Kondo, Toshifumi Honda, Akira Hamamatsu, Hideo Ota, Yoshio Kimoto
  • Patent number: 11442368
    Abstract: A method of determining a measurement sequence for an inspection tool inspecting a structure generated by a lithographic process performed by a lithographic system is presented, the method including deriving a model for the lithographic process as performed by the lithographic system, the model including a relationship between a set of system variables describing the lithographic system and an output variable representing the structure resulting of the lithographic process, determining an observability of one or more system variables in the output variable, and determining the measurement sequence for the inspection tool, based on the observability.
    Type: Grant
    Filed: April 5, 2019
    Date of Patent: September 13, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Quintanilha, Scott Anderson Middlebrooks, Adrianus Cornelis Matheus Koopman, Albertus Victor Gerardus Mangnus
  • Patent number: 11435393
    Abstract: Described herein are techniques related to a semiconductor fabrication process that facilitates the enhancement of systemic conformities of patterns of the fabricated semiconductor wafer. A semiconductor wafer with maximized systemic conformities of patterns will maximize the electrical properties and/or functionality of the electronic devices formed as part of the fabricated semiconductor wafer. This Abstract is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.
    Type: Grant
    Filed: November 2, 2018
    Date of Patent: September 6, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Carlos A. Fonseca, Nathan Ip, Joel Estrella
  • Patent number: 11428645
    Abstract: According to embodiments, a wafer inspection device is provided. The wafer inspection device includes a porous chuck including a plurality of pores formed all over the porous chuck to allow pressure for fixing a wafer to be applied thereto, a chuck driving device, a back side inspection optical system configured to inspect a portion of a back surface of the wafer, and a position identification optical system, wherein the porous chuck includes a plurality of holes uniformly formed all over the porous chuck to partially expose the back surface of the wafer and a slit exposing the back surface of the wafer and extending in one direction parallel to a top surface of the porous chuck.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: August 30, 2022
    Assignees: Samsung Electronics Co., Ltd., Advanced Technology Inc.
    Inventors: Kihak Nam, Sungyoon Ryu, Kwangeun Kim, Hwiwoo Park, Dayoung Yoon, Myoungkyu Choi
  • Patent number: 11374534
    Abstract: An optoelectronic solar cell test system including an exposure and measuring device for in-line measurement of solar cells and a control and evaluation unit, the exposure and measuring device configured to carry out test measurements for generating test-measurement data on a solar cell.
    Type: Grant
    Filed: August 7, 2019
    Date of Patent: June 28, 2022
    Assignee: WAVELABS SOLAR METROLOGY SYSTEMS GMBH
    Inventor: Maximilian Scherff
  • Patent number: 11316320
    Abstract: A laser light-source apparatus includes a seed light source 10, fiber amplifiers 20 and 30 and a solid state amplifier 50 configured to amplify pulse light output from the seed light source, nonlinear optical elements 60 and 70 configured to perform wavelength conversion on the pulse light output from the solid state amplifier 50 and output the resultant pulse light, a semiconductor optical amplifier 15 disposed between the seed light source 10 and the solid state amplifier 50 and configured to amplify the pulse light output from the seed light source 10, and a control unit 100 configured to execute gain switching control processing in which the seed light source 10 is driven at a desired pulse rate, and semiconductor optical amplifier control processing in which an injection current to the semiconductor optical amplifier 15 is controlled depending on the pulse rate of the seed light source 10, and thus, generation of a giant pulse can be reliably prevented, regardless of the pulse rate of the seed light sour
    Type: Grant
    Filed: April 19, 2018
    Date of Patent: April 26, 2022
    Assignee: SPECTRONIX CORPORATION
    Inventors: Joji Okada, Yosuke Orii
  • Patent number: 11301748
    Abstract: According to one or more embodiments of the present invention a computer-implemented method for fabricating a chip includes generating, using an aerial image generation system, a set of aerial images for a chip layout, the set of aerial images including an aerial image corresponding to each region from the chip layout. The method further includes automatically determining, using an artificial neural network, a feature vector for each aerial image from the set of aerial images. The method further includes clustering the aerial images using their corresponding feature vectors. The method further includes selecting, as test samples, a predetermined number of aerial images from each cluster. The method further includes performing a pattern coverage inspection of the chip layout using the aerial images that are selected as test samples.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: April 12, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jing Sha, Martin Burkhardt, Sean Burns
  • Patent number: 11255797
    Abstract: Strontium tetraborate can be used as an optical material. Strontium tetraborate exhibits high refractive indices, high optical damage threshold, and high microhardness. The transmission window of strontium tetraborate covers a very broad range of wavelengths, from 130 nm to 3200 nm, making the material particularly useful at VUV wavelengths. An optical component made of strontium tetraborate can be incorporated in an optical system, such as a semiconductor inspection system, a metrology system, or a lithography system. These optical components may include mirrors, lenses, lens arrays, prisms, beam splitters, windows, lamp cells or Brewster-angle optics.
    Type: Grant
    Filed: July 6, 2020
    Date of Patent: February 22, 2022
    Assignee: KLA Corporation
    Inventors: Yung-Ho Alex Chuang, Yinying Xiao-Li, Elena Loginova, John Fielden
  • Patent number: 11227085
    Abstract: A design method for displaying a DRC in a classification manner in a PCB design is provided. The design method includes: acquiring layer information contained in a current design, adding the acquired layer information to a DRC Layer menu in a pop up window, acquiring a DRC Type contained in the current design and adding the processed DRC Type to a DRC Type menu in the pop up window after processing the acquired DRC Type; creating an updating function, to update a DRC Type menu list in response to an input action of a user; acquiring an attribute of each item in a DRC Layer menu list and the DRC Type menu list, and inserting the acquired attribute into the updated DRC Layer menu list and the updated DRC Type menu list, to form a feature parameter list.
    Type: Grant
    Filed: December 28, 2017
    Date of Patent: January 18, 2022
    Assignee: ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD.
    Inventor: Min Zhang
  • Patent number: 11209476
    Abstract: An inspection system includes a light source, a mirror, Galvano mirrors, a casing that holds the mirror and the Galvano mirrors inside and includes an attachment portion for attaching an optical element, and a control unit that controls a deflection angle of the Galvano mirrors, wherein the control unit controls the deflection angle so that an optical path optically connected to a semiconductor device is switched between a first optical path passing through the Galvano mirrors and the mirror, and a second optical path passing through the Galvano mirrors and the attachment portion, and controls the deflection angle so that the deflection angle when switching to the first optical path has been performed and the deflection angle when switching to the second optical path has been performed do not overlap.
    Type: Grant
    Filed: March 7, 2018
    Date of Patent: December 28, 2021
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventors: Tomonori Nakamura, Yoshitaka Iwaki
  • Patent number: 11199395
    Abstract: A system for inspecting a component includes a fixture for holding the component, a light source disposed on one side of the component, and an optical detector disposed on the other side of the component. The detector images a portion of the outer edges of the component. A translation stage is operative to move the light source and detector in unison along the length of the component. The component is rotated through a predetermined angle about its axis.
    Type: Grant
    Filed: April 28, 2015
    Date of Patent: December 14, 2021
    Assignee: GII Acquisition, LLC
    Inventor: David Crowther
  • Patent number: 11169452
    Abstract: The present invention provides a measurement apparatus that measures a position of an object, including an illumination system configured to illuminate the object with illumination light, an image forming system configured to form, on a photoelectric conversion device configured to detect an image of the object, an image of detected light from the object, and a separation system including a reflective polarizer and a ?/4 plate arranged between the illumination system and the image forming system, and configured to separate the illumination light and the detected light via the reflective polarizer and the ?/4 plate, wherein the separation system includes at least one optical member arranged between the reflective polarizer and the ?/4 plate, and each of the illumination system and the image forming system includes a transmission polarizer.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: November 9, 2021
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Hironori Maeda, Ken Minoda
  • Patent number: 11159712
    Abstract: A range differentiator useful for auto-focusing, the range differentiator including an image generator providing an image of a scene at various physical depths, a depth differentiator distinguishing portions of the image at depths below a predetermined threshold, irrespective of a shape of the portions, and providing a depth differentiated image and a focus distance ascertainer ascertaining a focus distance based on the depth differentiated image.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: October 26, 2021
    Assignee: Orbotech Ltd.
    Inventors: Shai Wiseman, Yigal Katzir, Ofir Shnit, Ilia Lutsker, Chay Goldenberg
  • Patent number: 11146776
    Abstract: The present invention relates to a device and method for 3D laser imaging with a longitudinal range. An optical sensor array detects a 3D image of a target through a delay difference of laser transmission between pixels. During detection, two or more identical laser pulses are sequentially emitted to the target. Each time when a pulse is emitted, a gray image of the target is formed, and multiple pulses form multiple images. By controlling a shutter, the laser pulse returned in each imaging is truncated to varying degrees, and several charge coupled device (CCD) images with different exposures are obtained. A time-varying gray curve of each pixel is extracted, and a waveform of the corresponding laser pulse is restored. A position of a pulse peak of each pixel on a time coordinate is determined to obtain the distribution of the laser delay difference between the pixels, thereby realizing 3D imaging.
    Type: Grant
    Filed: August 11, 2020
    Date of Patent: October 12, 2021
    Inventors: Shiyu Wang, Defang Cai, Mengyao Wu, Zhen Guo
  • Patent number: 11143598
    Abstract: A defect inspection apparatus includes: an illumination unit configured to illuminate an inspection object region of a sample with light emitted from a light source; a detection unit configured to detect scattered light in a plurality of directions, which is generated from the inspection object region; a photoelectric conversion unit configured to convert the scattered light detected by the detection unit into an electrical signal; and a signal processing unit configured to process the electrical signal converted by the photoelectric conversion unit to detect a defect in the sample. The detection unit includes a lens array configured to divide an image to form a plurality of images on the photoelectric conversion unit. The signal processing unit is configured to synthesize electrical signals corresponding to the plurality of formed images to detect a defect in the sample.
    Type: Grant
    Filed: October 14, 2020
    Date of Patent: October 12, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Toshifumi Honda, Shunichi Matsumoto, Masami Makuuchi, Yuta Urano, Keiko Oka
  • Patent number: 11125806
    Abstract: Disclosed is a method for obtaining a computationally determined interference electric field describing scattering of radiation by a pair of structures comprising a first structure and a second structure on a substrate. The method comprises determining a first electric field relating to first radiation scattered by the first structure; determining a second electric field relating to second radiation scattered by the second structure; and computationally determining the interference of the first electric field and second electric field, to obtain a computationally determined interference electric field.
    Type: Grant
    Filed: July 31, 2019
    Date of Patent: September 21, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Patrick Warnaar, Vasco Tomas Tenner, Maurits Van der Schaar
  • Patent number: 11099057
    Abstract: A grossing workstation comprising an electronic scale, screen, and camera holder is described. The camera holder may be mounted on a flexible or articulating arm in order to attach a digital camera for specimen imaging. A computing device in the scale may store digital copies of specimen images or measurements. The computing device may furthermore control movements of the balance pan and camera holder in order to automatically image a specimen at different view angles.
    Type: Grant
    Filed: May 26, 2017
    Date of Patent: August 24, 2021
    Assignee: Imam Abdulrahman Bin Faisal University
    Inventor: Mohammed Saleh Madadin
  • Patent number: 11085849
    Abstract: An optical test method is provided. The optical test method includes emitting light through a gap between two substrates of a tested optical element disposed on a holder to generate a plurality of light beams. The optical test method further includes driving the holder with the tested optical element to move to N positions. The optical test method also includes receiving one of the light beams from the tested optical element in the N positions to generate N first intensity signals. In addition, the optical test method includes determining the size of the gap of the tested optical element according to the N first intensity signals and reference data.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: August 10, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD
    Inventors: Clark Lee, Yi-Chuan Lo, Hsun-Peng Lin, Chih-Ming Hong
  • Patent number: 11060982
    Abstract: Methods and systems for estimating values of parameters of interest from optical measurements of a sample early in a production flow based on a multidimensional optical dispersion (MDOD) model are presented herein. An MDOD model describes optical dispersion of materials comprising a structure under measurement in terms of parameters external to a base optical dispersion model. In some examples, a power law model describes the physical relationship between the external parameters and a parameter of the base optical dispersion model. In some embodiments, one or more external parameters are treated as unknown values that are resolved based on spectral measurement data. In some embodiments, one or more external parameters are treated as known values, and values of base optical dispersion model parameters, one or more external parameters having unknown values, or both, are resolved based on spectral measurement data and the known values of the one or more external parameters.
    Type: Grant
    Filed: March 11, 2020
    Date of Patent: July 13, 2021
    Assignee: KLA Corporation
    Inventors: Natalia Malkova, Mikhail Sushchik, Dawei Hu, Carlos L. Ygartua
  • Patent number: 11042096
    Abstract: A method for determining a characteristic of a feature in an object, the feature being disposed below a surface of the object is disclosed. The surface of the object is irradiated with a pulsed pump radiation beam so as to produce an acoustic wave in the object. The surface of the object is then irradiated with a measurement radiation beam. A portion of the measurement radiation beam scattered from the surface is received and a characteristic of the feature in the object is determined from at least a portion of the measurement radiation beam scattered from the surface within a measurement time period. A temporal intensity distribution of the pulsed pump radiation beam is selected such that in the measurement time period a signal to background ratio is greater than a signal to background ratio achieved using a single pulse of the pulsed pump radiation beam.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: June 22, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Stefan Michiel Witte, Alessandro Antoncecchi, Hao Zhang, Stephen Edward, Paulus Clemens Maria Planken, Sebastianus Adrianus Goorden, Simon Reinald Huisman, Irwan Dani Setija, David Ferdinand Vles
  • Patent number: 11029646
    Abstract: A system and method to produce a hologram of a single plane of a three dimensional object includes an electromagnetic radiation assembly to elicit electromagnetic radiation from a single plane of said object, and an assembly to direct the elicited electromagnetic radiation toward a hologram-forming assembly. The hologram-forming assembly creates a hologram that is recorded by an image capture assembly and then further processed to create maximum resolution images free of an inherent holographic artifact.
    Type: Grant
    Filed: July 10, 2015
    Date of Patent: June 8, 2021
    Assignee: CellOptic, Inc.
    Inventors: Gary Brooker, Nisan Siegel
  • Patent number: 11022565
    Abstract: A method for determining a defect material element, the method includes (a) acquiring, by a charged particle beam system and by applying a spectroscopy process, an electromagnetic emission spectrum of a part of a defect; (b) acquiring, by the charged particle beam system, a backscattered electron (BSE) image of an area that includes the defect; and (c) determining a defect material element. The determining of the defect material element includes: determining whether an ambiguity exists in the electromagnetic emission spectrum, and resolving the ambiguity based on the BSE image, when it is determined that the ambiguity exists.
    Type: Grant
    Filed: May 7, 2019
    Date of Patent: June 1, 2021
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Dror Shemesh, Eugene T. Bullock, Adi Boehm, Gurjeet Singh
  • Patent number: 10997388
    Abstract: In a method for evaluating a darkfield image for contamination, it is determined whether an object is interacting with a fingerprint sensor. Provided an object is not interacting with the fingerprint sensor, a darkfield candidate image is captured at a fingerprint sensor. The darkfield candidate image is evaluated for contamination. Based on the evaluating, it is determined whether the darkfield candidate image includes contamination.
    Type: Grant
    Filed: February 7, 2019
    Date of Patent: May 4, 2021
    Assignee: InvenSense, Inc.
    Inventors: Daniela Hall, Bruno Flament, Jonathan Baudot, Etienne DeForas, Harihar Narasimha-Iyer
  • Patent number: 10971343
    Abstract: An apparatus for monitoring an interior of a process chamber including a process chamber including a chamber body and a view port defined in the chamber body, a cover section including a pinhole in one end, the cover section disposed to correspond to an end portion of the view port, the cover section having a first length in a direction toward a center point of the process chamber, and a sensing unit inserted into the view port to monitor the interior of the process chamber through the pinhole, a region in the process chamber to be sensed by the sensing unit determined based on the first length may be provided.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: April 6, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Protopopov Vladimir, Ki Ho Hwang, Doug Yong Sung, Se Jin Oh, Kul Inn, Sung Ho Jang, Yun Kwang Jeon
  • Patent number: 10955361
    Abstract: As a technique to improve processing efficiency of defect inspection by quickly adjusting a position of a detection system, provided is a defect inspection apparatus including: a stage that moves with a sample and a pattern substrate placed thereon; an illumination optical system that irradiates an object on the stage from a direction inclined from the normal direction of the pattern substrate; a first detection optical system that detects scattered light in the normal direction; a second detection optical system that detects scattered light in a direction different from the scattered light detected by the first detection optical system; a signal processing unit that processes both scattered light signals; and a control unit.
    Type: Grant
    Filed: July 18, 2017
    Date of Patent: March 23, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Yuta Urano, Toshifumi Honda, Akio Yazaki, Yukihiro Shibata, Hideki Fukushima, Yasuhiro Yoshitake
  • Patent number: 10942461
    Abstract: An apparatus for determining a characteristic of a feature of an object comprises: a measurement radiation source; a measurement radiation delivery system; a measurement system; a pump radiation source; and a pump radiation delivery system. The measurement radiation source is operable to produce measurement radiation and the measurement radiation delivery system is operable to irradiate at least a part of a top surface of the object with the measurement radiation. The measurement system is operable to receive at least a portion of the measurement radiation scattered from the top surface and is further operable to determine a characteristic of the feature of the object from at least a portion of the measurement radiation scattered from the top surface.
    Type: Grant
    Filed: July 2, 2018
    Date of Patent: March 9, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Simon Reinald Huisman, Sebastianus Adrianus Goorden, Irwan Dani Setija
  • Patent number: 10914936
    Abstract: An endoscope includes in order from an object side to an image side, an objective optical system, an optical-path splitter which splits light from the objective optical system into two, and an image sensor which picks up two split images. A multi-order ?/4 wavelength plate made of a birefringent material is disposed in an optical path between the objective optical system and the optical-path splitter, and the multi-order ?/4 wavelength plate satisfies the following conditional expressions (1) and (2)?. 10?(d/d0)×0.25?85??(1) 0.08<|?n|<0.24??(2)? where, d denotes a thickness of the multi-order ?/4 wavelength plate, d0 denotes a thickness of the multi-order ?/4 wavelength plate, which becomes zero-order for an e-line, and ?n denotes a birefringence for the e-line of the multi-order ?/4 wavelength plate.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: February 9, 2021
    Assignee: OLYMPUS CORPORATION
    Inventor: Hiroshi Tsuyuki
  • Patent number: 10890848
    Abstract: Provided are a material for detecting photoresist and a method for detecting photoresist using the same. The material for detecting photoresist may include a macrocyclic molecule having a hollow structure and a fluorescent substance which is labeled on the macrocyclic molecule, and the macrocyclic molecule is at least one of cyclodexrin, cucurbituril, calixarene, pillararene and catenane.
    Type: Grant
    Filed: July 17, 2018
    Date of Patent: January 12, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yoonjung Jang, Sooyoung Kim, Boodeuk Kim, Soojin Lee
  • Patent number: 10893640
    Abstract: A component pickup apparatus includes a component pickup unit including a plurality of nozzles, each of the plurality of nozzles configured to adsorb a component; a light emitter configured to emit light toward the plurality of nozzles; an image splitter configured to receive an image of the plurality of nozzles generated by the light and configured to split the image of the plurality of nozzles into different groups; and an image capturer configured to capture an image outputted from the image splitter. The image splitter is configured to guide a first image of a first group of the plurality of nozzles to a first row of the image capturer and guide a second image of a second group of the plurality of nozzles to a second row of the image capturer.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: January 12, 2021
    Assignee: HANWHA PRECISION MACHINERY CO., LTD.
    Inventors: Jong Eok Ban, Byung Ju Kim, Sung Ho Jo, Eun Suk Yoon