With Comparison To Master, Desired Shape, Or Reference Voltage Patents (Class 356/394)
  • Publication number: 20080239319
    Abstract: An inspection apparatus includes a wafer stage for carrying a wafer, an illumination module which irradiates an inspection beam on the wafer carried on the wafer stage, a detection module which detects scattering rays or reflection rays from the wafer on the wafer stage and outputs an image signal, a coordinates control module which stores information about the arrangement of individual inspection areas on the wafer, and an imperfect area recognition module which recognizes, on the basis of the inspection area arrangement information stored in the coordinates control module, an imperfect inspection area interfering with a wafer edge.
    Type: Application
    Filed: March 27, 2008
    Publication date: October 2, 2008
    Inventors: Hiroyuki YAMASHITA, Yukihisa MOHARA, Eiji Imai
  • Publication number: 20080231856
    Abstract: A substrate inspection method includes forming a conductive thin film on a surface of an inspection target substrate with a pattern formed thereon, generating an electron beam and irradiating the substrate having the thin film formed thereon with the electron beam, detecting at least any of secondary electrons, reflected electrons and backscattered electrons released from the surface of the substrate and outputting signals constituting an inspection image, and selecting at least any of a material, a film thickness and a configuration for the thin film, or at least any of a material, a film thickness and a configuration for the thin film and an irradiation condition with the electron beam according to an arbitrary inspection image characteristic so that an inspection image according to an inspection purpose can be obtained.
    Type: Application
    Filed: March 21, 2008
    Publication date: September 25, 2008
    Inventor: Ichirota NAGAHAMA
  • Patent number: 7421109
    Abstract: A pattern inspecting method, comprising preparing a sample having a first and a second inspection regions and an imaging device having a plurality of pixels, scanning the first inspection region to a first direction using the imaging device to obtain a first measurement pattern representing at least parts of the first inspection region, scanning the second inspection region to the first direction using the imaging device to obtain a second measurement pattern representing at least parts of the second inspection region, comparing the first measurement pattern and the second measurement pattern with each other to determine presence or absence of a defect formed on the sample, and controlling a scanning condition for scanning a pattern of the second inspection region by the imaging device so as to keep the same with the scanning condition when the pattern of the first inspection region is scanned by the imaging device.
    Type: Grant
    Filed: August 19, 2003
    Date of Patent: September 2, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideo Tsuchiya, Kyoji Yamashita, Toshiyuki Watanabe, Ikunao Isomura, Toru Tojo, Yasushi Sanada
  • Patent number: 7417750
    Abstract: Structures formed on a semiconductor wafer are consecutively measured by obtaining first and second measured diffraction signals of a first structure and a second structure formed abutting the first structure. The first and second measured diffraction signals were consecutively measured using an angle-resolved spectroscopic scatterometer. The first measured diffraction signal is compared to a first simulated diffraction signal generated using a profile model of the first structure. The profile model has profile parameters, characterize geometries of the first structure, and an azimuth angle parameter, which define the angle between the plane of incidence beam and direction of periodicity of the first or second structure. One or more features of the first structure are determined based on the comparison.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: August 26, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Vi Vuong, Junwei Bao, Manuel Madriaga
  • Patent number: 7415149
    Abstract: A pattern inspection apparatus uses a die-to-database comparison method which compares detected pattern data obtained from an optical image of a pattern of a plate to be inspected with first reference pattern data obtained from designed pattern data in combination with a die-to-die comparison method which compares the detected pattern data with second reference pattern data obtained by detecting an area to be a basis for repetition. A computer detects presence of a plurality of repeated pattern areas from layout information contained in the designed pattern data, reads the arrangement, the number, the dimension and the repeated pitch of the repeated pattern areas, and automatically fetches an inspection area of the die-to-die comparison method.
    Type: Grant
    Filed: February 12, 2007
    Date of Patent: August 19, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideo Tsuchiya, Kyoji Yamashita, Toshiyuki Watanabe, Ikunao Isomura, Toru Tojo, Yasushi Sanada
  • Publication number: 20080165360
    Abstract: Scanning beam device calibration using a calibration pattern is disclosed. In one aspect, a method may include acquiring an image of a calibration pattern using a scanning beam device. The acquired image may be compared with a representation of the calibration pattern. The scanning beam device may be calibrated based on the comparison. Software and apparatus to perform these and other calibration methods are also disclosed.
    Type: Application
    Filed: January 10, 2007
    Publication date: July 10, 2008
    Inventor: Richard S. Johnston
  • Patent number: 7394084
    Abstract: For generating an image for inspection of a substrate, a camera is provided above this substrate with an optical axis orienting downward and a plurality of multi-colored light emitting members are set around the optical axis of the camera so as to be within a specified angular range with respect to a target area on the substrate. The camera is operated to generate an image of the target area for inspection while switched-on conditions of these light emitting members are being controlled such that the colors and angles of light illuminating this target area are varied according to a specified kind of the purpose of this inspection.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: July 1, 2008
    Assignee: OMRON Corporation
    Inventors: Jun Kuriyama, Masato Ishiba, Kiyoshi Murakami, Teruhisa Yotsuya
  • Patent number: 7369236
    Abstract: Inspection of objects such as semiconductor wafers can include comparisons of shapes between inspection and reference images. As part of the inspection process, relative values may be assigned to pixels within each image based on comparison of such pixels to neighboring pixels. For instance, the pixels may be ranked by relative brightness in each image. Alternatively, directional vectors may be defined based on slopes between pixels and their neighbors. Various comparison metrics may be utilized to determine the degree of correlation between the relative values for pixels in the inspection image and corresponding pixels in the reference image. Relative values may be combined with conventional techniques as part of an inspection process. The inspection may be performed using an optical inspection tool that uses conventional techniques to identify defect candidates, with relative value analysis performed on areas containing defect candidates to confirm or deny the existence of a defect.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: May 6, 2008
    Assignee: Negevtech, Ltd.
    Inventors: Erez Sali, Oren Cohen
  • Publication number: 20080100844
    Abstract: Inspection of objects such as semiconductor wafers can include comparisons of shapes between inspection and reference images. As part of the inspection process, relative values may be assigned to pixels within each image based on comparison of such pixels to neighboring pixels. For instance, the pixels may be ranked by relative brightness in each image. Alternatively, directional vectors may be defined based on slopes between pixels and their neighbors. Various comparison metrics may be utilized to determine the degree of correlation between the relative values for pixels in the inspection image and corresponding pixels in the reference image. Relative values may be combined with conventional techniques as part of an inspection process. The inspection may be performed using an optical inspection tool that uses conventional techniques to identify defect candidates, with relative value analysis performed on areas containing defect candidates to confirm or deny the existence of a defect.
    Type: Application
    Filed: October 31, 2006
    Publication date: May 1, 2008
    Inventors: Erez Sali, Oren Cohen
  • Patent number: 7365862
    Abstract: A method for generating a mask for use with a light measurement system that includes a light source for projecting light onto an object, and an imaging sensor for receiving light reflected from the object. The method includes determining a profile of the object to be inspected, and generating an electronic mask based on the determined object profile. The electronic mask has an electronic opening having a profile defined to substantially match the determined object profile as viewed from one of the light source and the imaging sensor.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: April 29, 2008
    Assignee: General Electric Company
    Inventors: Qingying Hu, Kevin George Harding, Joseph Benjamin Ross, Donald Wagner Hamilton
  • Patent number: 7359043
    Abstract: A pattern inspecting method, comprising preparing a sample having a first and a second inspection regions and an imaging device having a plurality of pixels, scanning the first inspection region to a first direction using the imaging device to obtain a first measurement pattern representing at least parts of the first inspection region, scanning the second inspection region to the first direction using the imaging device to obtain a second measurement pattern representing at least parts of the second inspection region, comparing the first measurement pattern and the second measurement pattern with each other to determine presence or absence of a defect formed on the sample, and controlling a scanning condition for scanning a pattern of the second inspection region by the imaging device so as to keep the same with the scanning condition when the pattern of the first inspection region is scanned by the imaging device.
    Type: Grant
    Filed: December 24, 2003
    Date of Patent: April 15, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideo Tsuchiya, Yoshihide Kato, Kazuto Matsuki, Yasushi Sanada, Riki Ogawa, Takuro Nagao
  • Patent number: 7349575
    Abstract: In a pattern inspection method, a master pattern serving as a reference and the continuous tone image of a pattern to be measured that is sensed by a camera are aligned. At least the position of a base in the continuous tone image of the pattern to be measured is detected on the basis of the master pattern. At least one threshold is set on the basis of the difference from at least the density value of the base. The continuous tone image of the pattern to be measured is binarized on the basis of the set threshold. The pattern to be measured is inspected by comparing the binarized pattern to be measured and the master pattern. A pattern inspection apparatus and alignment method are also disclosed.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: March 25, 2008
    Assignee: Nippon Avionics Co., Ltd.
    Inventors: Shinichi Hattori, Tohru Ida, Shuzo Matsuno
  • Publication number: 20080068608
    Abstract: A shape measurement method for measuring a shape of an object to be measured, which has a substantially rotating symmetric shape, includes: placing an aperture having an opening larger than an outer shape of the object to be measured and the object to be measured on an optical axis; taking an image generated by light projected to the object to be measured, by using an image pickup unit; and calculating one cross-sectional shape of the object to be measured based on a light intensity distribution of the image taken by the image pickup unit.
    Type: Application
    Filed: September 17, 2007
    Publication date: March 20, 2008
    Applicant: MITUTOYO CORPORATION
    Inventors: Sotomitsu Hara, Yutaka Tsuchida, Yoshikazu Arai, Yasushi Ishii, Makoto Abe, Yasushi Ueshima
  • Patent number: 7339661
    Abstract: Apparatus for inspection of a sample includes a radiation source, which is adapted to direct optical radiation onto an area of a surface of the sample, and a plurality of image sensors. Each of the image sensors is configured to receive the radiation scattered from the area into a different, respective angular range, so as to form respective images of the area. An image processor is adapted to process at least one of the respective images so as to detect a defect on the surface.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: March 4, 2008
    Inventors: Doron Korngut, Erez Admoni, Ofer Kadar, Lev Haikoviz, Haim Feldman, Avishay Guetta
  • Patent number: 7339672
    Abstract: A solid-state image pickup device has a photoelectric conversion part performing photoelectric conversion on incident light, a comparison part connected to an output terminal of the photoelectric conversion part to compare an output voltage of the photoelectric conversion part with a reference voltage, a capacitive element having one end connected to the output terminal of the photoelectric conversion part, and a control line connected to the other end of the capacitive element. In a signal storage period, a first control voltage is applied to the control line so as to make an electric potential at the output terminal of the photoelectric conversion part fall outside a transition region of the comparator. In a signal read period, a second control voltage is applied to the control line so as to make the electric potential at the output terminal of the photoelectric conversion part fall within the transition region of the comparator.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: March 4, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kazuo Hashiguchi, Makoto Shoho
  • Patent number: 7339651
    Abstract: Exposure equipment adapted for use in the manufacture of semiconductor devices and a related control are disclosed. A wafer stage in the exposure equipment comprises an image sensor adapted to detect patterned light from the reticle. Image data corresponding to the detected patterned light is compared to reference image data to verify a state of overlay mismatch of reticle pattern accuracy prior to wafer exposure.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: March 4, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Soo-Han Kim
  • Patent number: 7339662
    Abstract: A scanning type exposure apparatus includes a projection optical system which projects a pattern of a reticle onto a wafer, which is held by a wafer chuck, a scanning stage system which scanningly moves the reticle and the wafer synchronously with respect the projection optical system, and an inspection system which automatically inspects influence of particles on at least one of the wafer and on the wafer chuck. The inspection system includes a focus detector which measures a focus state of the wafer and a calculator which calculates outputs of the focus detector.
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: March 4, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hiroaki Takeishi
  • Patent number: 7336374
    Abstract: A method for generating a mask for use with a light measurement system that includes a light source for projecting light onto a surface of an object, and an imaging system for receiving light reflected from the surface of the object. The method includes determining a profile of the object to be inspected, and generating a mask based on the determined profile, wherein the mask includes an opening extending therethrough that has a profile that substantially matches a profile of the object as viewed from the light source.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: February 26, 2008
    Assignee: General Electric Company
    Inventors: Kevin George Harding, Xiaoping Qian, Russell Stephen DeMuth
  • Patent number: 7321433
    Abstract: The present invention discloses a non-destructive method and apparatus for measuring the 3D topography of a sample having periodic microstructure deposited onto the surface, or deposited onto a film, or buried into the film or sample. In particular, the present invention relates to an optical system and method utilizing polarized light beam, diffracted from the repeated structure, to measure its spatial geometry giving parameters such as profile height, profile widths, sidewall angles, and arbitrary profile shape. The optical system employs a broadband or semi-monochromatic light source to produce a light beam that is polarized and focused onto the periodic structure being measured. The focused beam consists of a whole range of illumination angles that is provided to the structure simultaneously. Transmitted or reflected diffracted light generated by the interaction of the light with the periodic structure is collected by an imaging detector system.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: January 22, 2008
    Assignee: Dansk Fundamental Metrologi A/S
    Inventors: Niels Agersnap Larsen, Poul-Erik Hansen
  • Patent number: 7295301
    Abstract: A method and apparatus for inspecting patterned substrates, such as photomasks, for unwanted particles and features occurring on the transmissive as well as pattern defects. A transmissive substrate is illuminated by a laser through an optical system comprised of a laser scanning system, individual transmitted and reflected light collection optics and detectors collect and generate signals representative of the light transmitted and reflected by the substrate. The defect identification of the substrate is performed using transmitted and reflected light signals from a baseline comparison between two specimens, or one specimen and a database representation, to form a calibration pixelated training set including a non-defective region. This calibration pixilated training set is compared to a transmitted-reflected plot map of the subject specimen to assess surface quality.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: November 13, 2007
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Zongqiang Yu
  • Patent number: 7292327
    Abstract: The disclosed subject matter is related to a circuit pattern inspection apparatus for detecting a gradual changing of defect expanding over a large area of the semiconductor wafer. In order to detect a gradual changing of a defect related condition expanding over a large area of the semiconductor wafer, comparison is made between dies on a wafer that are separated from each other by a distance of at least one die width. For example, when a value according to a difference between such dies exceeds a pre-determined value, an existence of the gradual changing can be confirmed.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: November 6, 2007
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasuhiko Nara, Masaaki Nojiri, Kouichi Hayakawa, Takashi Hiroi
  • Patent number: 7283235
    Abstract: Optical device for representing a central image (10) of an object (1) and at least one lateral image (11, 12, 13, 14) of the same object (1), with the length of the real optical path of said central image (10) being different from the length of the real optical path of said at least one lateral image (11, 12, 13, 14) and the length of the apparent optical path of said central image (10) being equal to the length of the apparent optical path of said at least one lateral image (11, 12, 13, 14), and module for the optical inspection of objects comprising such an optical device and an optical system (7) allowing a central image (10) of the object (1) and at least one lateral image (11, 12, 13, 14) of this same object (1) to be captured simultaneously. The apparent optical paths of the central image (10) and of the lateral image (11, 12, 13, 14) being identical, these two images can be captured and correctly focussed simultaneously by a same viewing system (7).
    Type: Grant
    Filed: February 14, 2005
    Date of Patent: October 16, 2007
    Assignee: Ismeca Semiconductor Holding SA
    Inventor: Aldo Salvi
  • Publication number: 20070236694
    Abstract: The present invention relates to a system for three-dimensional (3-D) acoustic imaging of a scattering structure using information from a two-dimensional (2-D) image. The system uses a characterized sensor array to emit a signal from the sensor array into an object of interest to generate at least one 2-D representation of the object of interest. The 2-D representation comprises a plurality of x and y coordinate pairs and at least one candidate scattering structure. The candidate scattering structure comprises a shape defined by at least one pair of x and y coordinates. The candidate scattering structure shape is restricted to at least one pair of x and y coordinates. The pair of x and y coordinates of the candidate scattering structure shape are then compared with a first coordinate-dependent response function to assign an x, y, and z position of a scattering structure in 3-D space.
    Type: Application
    Filed: April 5, 2007
    Publication date: October 11, 2007
    Inventors: Morteza Gharib, Joshua Adams
  • Patent number: 7280199
    Abstract: A cylindrical mirror or lens is used to focus an input collimated beam of light onto a line on the surface to be inspected, where the line is substantially in the plane of incidence of the focused beam. An image of the beam is projected onto an array of charge-coupled devices parallel to the line for detecting anomalies and/or features of the surface, where the array is outside the plane of incidence of the focused beam.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: October 9, 2007
    Assignee: KLA-Tencor Corporation
    Inventors: Guoheng Zhao, Stanley Stokowski, Mehdi Vaez-Iravani
  • Patent number: 7271891
    Abstract: Disclosed are techniques and apparatus for accounting for differing levels of defect susceptibility in different pattern areas of a reticle in an inspection of such reticle or in inspection of a semiconductor device fabricated from such reticle. In general terms, two or more areas of a reticle are analyzed to quantify each area's susceptibility to defects on the final semiconductor product. That is, each reticle area is analyzed and given a quantified defect susceptibility value, such as a MEEF (mask error enhancement factor) value. Such analysis includes analysis of an image that is estimated to result from the lithography tool which is to be utilized to expose semiconductor devices with the reticle. The defect susceptibility value generally depends on the reticle area's density and whether the correspond area of the estimated lithography image has intensity values which are proximate to an exposure threshold for a particular resist material to be used on the final semiconductor device.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: September 18, 2007
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Yalin Xiong, Zain K. Saidin, Sterling G. Watson
  • Patent number: 7271903
    Abstract: A device and a method for testing a liquid crystal display panel are disclosed in the present invention, which enable to conveniently inspect a cutting plane of an individual unit liquid crystal panel. The apparatus includes a first memory unit storing a reference image of an end portion of a reference panel taken through a camera, a second memory unit storing an observed image of an end portion of a cut unit liquid crystal display panel taken through the camera, a comparison/computation unit computing a difference value by comparing the reference image and the observed image, and a display unit displaying the difference value of the comparison/computation unit.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: September 18, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Sang-Sun Shin, Ho-Kyun Kim
  • Patent number: 7271904
    Abstract: A seal dispenser for fabricating a liquid crystal display panel and a method for detecting a discontinuous portion of a seal pattern using the same are disclosed in the present invention. The seal dispenser for fabricating a liquid crystal display panel includes a table holding a substrate, a syringe forming a seal pattern on the substrate by varying a position relation of the table, an image camera detecting an image of the seal pattern by varying the position of the table, and a display unit displaying an image of the seal pattern detected by the image camera.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: September 18, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Sung-Su Jung, Sang-Hyun Kim
  • Patent number: 7248351
    Abstract: An inspection system includes an illumination source configured to illuminate a blazed phase grating sample, image collection pathways and an imaging system configured to capture an image of a sample point of the blazed phase grating sample, and a controller configured to adjust the illumination source in response to an analysis of the image of the sample point to determine illumination uniformity of the inspection system.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: July 24, 2007
    Assignee: Infineon Technologies AG
    Inventors: William Roberts, Gerhard Kunkel, Patrick Lomtscher, Karl Schumacher
  • Patent number: 7222414
    Abstract: A system for transferring electrical components. The system may comprise a plurality of electrical components. Each of the components may include leads and a physically asymmetric fiducial marker that structurally alters a physical appearance of the components. The system may also comprise a nest having a nest surface that defines a recess shaped to receive any one of the plurality of components only when the component is oriented such that the component's fiducial marker is received by a corresponding asymmetric portion of the nest surface. In addition, the system may comprise a component feed assembly for feeding the plurality of components to the nest, a component alignment detector, and a pick and place machine having a movable pick head. The movable pick head may have access to the component feed assembly and the recess of the nest.
    Type: Grant
    Filed: March 3, 2005
    Date of Patent: May 29, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Darryl L. Gamel, Kreg W. Hines
  • Patent number: 7221446
    Abstract: A fluid dispenser dispenses a fluid alternately from one of a pair of ports (29,31) that are provided on opposite ends of a syringe (26) by moving a piston (42) back and forth inside the syringe. A pair of stirrers (47,48) are provided in the syringe on opposite axial sides of the piston. The stirrers may each individually rotate on an axis that extends in parallel with the moving direction of the piston. A pair of stirrer driving rings (49,50) are mounted on an outer periphery of the syringe in correspondence with the stirrers. Magnets (55,56,59,60) are embedded in the stirrers and the stirrer driving rings such that the stirrer is rotated by magnetic fields that are generated from the stirrer driving ring, as the stirrer driving ring is rotated by a motor (51,52).
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: May 22, 2007
    Assignee: Fujifilm Corporation
    Inventors: Masaya Morita, Ryo Mori, Fumio Yuito
  • Patent number: 7218771
    Abstract: This invention discloses an electrical circuit inspection system including an optical subsystem for optically inspecting an electrical circuit and providing an inspection output identifying more than two different types of regions and an analysis subsystem for analyzing the inspection output, the analyzing including comparing the inspection output with a computer file reference identifying more than two different types of regions. A method for inspecting an electrical circuit inspection is also disclosed.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: May 15, 2007
    Assignee: Orbotech, Ltd.
    Inventors: Tally Gilat-Bernshtein, Zeev Gutman
  • Patent number: 7215419
    Abstract: A calibration method suitable for highly precise and highly accurate surface metrology measurements is described. In preferred embodiments, an optical inspection tool including a movable optics system is characterized in terms of position and wavelength dependent quantities over a range of motion. Once the position-dependant quantities are determined at various wavelengths and positions, they are stored and used to interpret data from test wafers having an unknown metrology. Free of position-dependent variations and other information pertaining to the measurement system, the accuracy of the resulting wafer measurement more closely matches the precision of the tool than existing techniques. In particular embodiments, a portion of the characterization of the optical system is accomplished by using tilted black glass to provide a non-reflective reference.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: May 8, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Abdurrahman Sezginer, Kenneth Johnson, Adam E. Norton, Holger A. Tuitje
  • Patent number: 7196300
    Abstract: A method and apparatus for dynamically focusing an imaging mechanism on a moving target surface having a variable geometry is herein disclosed. Apparatus for focusing an imaging mechanism may include an objective, a prism, or another optical device that forms part of an optical train of an imaging mechanism, a sensor for measuring a distance to the target surface, and a mechanism for modifying the depth of focus of the objective, prism or other optical device. Data from the sensor may be used to create a predictive model of the target surface. Data from the sensor is also used to fit or correlate the generated model to an exemplary target. Data from the correlated model is used to drive the mechanism for modifying the depth of focus of the objective, prism, or other optical device to maintain the surface of the exemplary target in focus.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: March 27, 2007
    Assignee: Rudolph Technologies, Inc.
    Inventors: Cory Watkins, Mark Harless, David Vaughnn, Pat Simpkins, Shaileshkumar Goyal, Gerald Brown, Brian Delsey
  • Patent number: 7190454
    Abstract: An automatic power controller includes a photo detector for detecting the output power of the laser light source and generating a detection signal, a comparator for comparing the detection signal with a reference signal and outputting a comparison signal, a signal source for providing the reference signal with different voltages representing different output power levels of the laser light source to be set, and a gain-adjustable amplifier for receiving the comparison signal and generating an output signal. The gain of the gain-adjustable amplifier is adjusted so that the voltage difference between the steady-state voltage of the comparison signal and the voltage of the reference signal is kept substantially unchanged regardless of the output power of the laser light source, the occurrence of charging/discharging the capacitor in the comparator is reduced. Therefore the automatic power controller can rapidly reach its new steady state while changing the laser output power.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: March 13, 2007
    Assignee: Mediatek Inc.
    Inventors: Tse-Hsiang Hsu, Pao-Ping Ma
  • Patent number: 7170592
    Abstract: The present invention is directed to a method of inspecting a curved object comprising the steps of acquiring inspection image data of a curved object using a detector, generating adjusted image data by adjusting the inspection image data, and comparing the adjusted image data with digitally generated image data of a predetermined image. In one embodiment, golf balls are inspected using a line scan camera and a line of coplanar and parallel, diffuse light that uniformly illuminates the camera's scan line. A method of digitally filtering brightness in a digital image is also disclosed.
    Type: Grant
    Filed: March 10, 2004
    Date of Patent: January 30, 2007
    Assignee: Acushnet Company
    Inventors: Thomas L Mydlack, Paul A Furze
  • Patent number: 7170593
    Abstract: A method to solve the problem of a technique generally used to detect a defect of a semiconductor by calculating the differential image based on pattern matching, which requires that a reference image must be picked up to pick up an image of the inspection position in an area with the semiconductor pattern having no periodicity, resulting in a low throughput. The image of the inspection position is divided into local areas, each local area is matched with the local area of the image already stored and the difference between the local areas thus matched is determined to extract a defect area.
    Type: Grant
    Filed: October 11, 2005
    Date of Patent: January 30, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Toshifumi Honda, Yuji Takagi, Hirohito Okuda
  • Patent number: 7142708
    Abstract: An object of the present invention is to provide a defect detection method and its apparatus which can adjust sensitivity easily by managing both reduction in the number of false reports and highly-sensitive detection of a defect using single threshold value setting in comparison inspection that compares an image to be inspected with a reference image to detect a defect judging from a difference between the images. According to the present invention, adjusting the brightness before inspection so that a difference becomes small at the edges of a high-contrast pattern in a target image enables reduction in the number of false reports caused by an alignment error, and achievement of highly-sensitive defect inspection using a low threshold value, without increasing the threshold value.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: November 28, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Kaoru Sakai, Shunji Maeda, Takafumi Okabe
  • Patent number: 7095496
    Abstract: A calibration method suitable for highly precise and highly accurate surface metrology measurements is described. In preferred embodiments, an optical inspection tool including a movable optics system is characterized in terms of position and wavelength dependent quantities over a range of motion. Once the position-dependant quantities are determined at various wavelengths and positions, they are stored and used to interpret data from test wafers having an unknown metrology. Free of position-dependent variations and other information pertaining to the measurement system, the accuracy of the resulting wafer measurement more closely matches the precision of the tool than existing techniques. In particular embodiments, a portion of the characterization of the optical system is accomplished by using tilted black glass to provide a non-reflective reference.
    Type: Grant
    Filed: December 12, 2002
    Date of Patent: August 22, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Abdurrahman Sezginer, Kenneth Johnson, Adam E. Norton, Holger A. Tuitje
  • Patent number: 7092484
    Abstract: The present invention is a method for inspecting objects. The method includes obtaining a structural model of a first object, the model providing dimensions and material properties for the first object, inspecting a second object to provide inspection data for at least two views of a structure of the second object, comparing inspection and predicted data based on the structural model of the first object and a simulation of the inspection process, reconstructing stereographic data for the second object based on the structural model of the first object and contributions of the inspection data of the second object. In another embodiment, where there is a structural model of an object, the object can be subjected to a dynamic process and the object is inspected throughout the process.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: August 15, 2006
    Assignee: Iowa State University Research Foundation, Inc.
    Inventors: Terrence C. Jensen, Joseph N. Gray
  • Patent number: 7092096
    Abstract: A method of analyzing structural characteristics of sidewall spacers fabricated on a wafer is disclosed. A grating bar having a plurality of grating targets is provided. A theoretical optical scatterometry spectrum is generated by subjecting the grating targets to optical scatterometry. An experimental optical scatterometry spectrum is generated by subjecting the sidewall spacers on the wafer to optical scatterometry. The structural characteristics of the sidewall spacers are equated with the structural characteristics of the grating targets when the theoretical optical scatterometry spectrum substantially matches the experimental optical scatterometry spectrum.
    Type: Grant
    Filed: February 20, 2004
    Date of Patent: August 15, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hun-Jan Tao, Fang-Chang Chen
  • Patent number: 7088442
    Abstract: A device for quality testing of a body comprising a surface comprising a structuring bounded by edges and a substantially edge-free unevenness, wherein the structuring illustrates a quality impairment and wherein the unevenness illustrates a potential quality impairment, includes a means for generating a height representation, wherein the height representation of the surface includes the structuring and the unevenness. A means for calculating a variation representation calculates the variation associated to the point for every point of the height representation, so that at a boundary of the structuring a high variation is obtained, while at a boundary of the unevenness a low variation is obtained. A means for detecting regions which are potentially quality affecting detects regions as potentially quality-affecting which comprise a variation which is smaller than a predetermined variation threshold value regarding its magnitude.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: August 8, 2006
    Assignee: Fraunhofer-Gesellschaft zur Foerderung der angewandten Forschung e.V
    Inventors: Ulf Hassler, Peter Schmitt, Guenther Kostka
  • Patent number: 7076320
    Abstract: Systems and methods that improve process control in semiconductor manufacturing are disclosed. According to an aspect of the invention, conditions in a cluster tool environment and/or a wafer therein can be monitored in-situ via, for example, a scatterometry system, to determine whether parameters associated with wafer production are within control limits. A cluster tool environment can include, for example, a lithography track, a stepper, a plasma etcher, a cleaning tool, a chemical bath, etc. If an out-of-control condition is detected, either associated with a tool in the cluster tool environment or with the wafer itself, compensatory measures can be taken to correct the out-of-control condition. The invention can further employ feedback/feed-forward loop(s) to facilitate compensatory action in order to improve process control.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: July 11, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Khoi A. Phan, Bhanwar Singh, Ramkumar Subramanian
  • Patent number: 7071833
    Abstract: A failure analyzing system for displaying a position of a failure in a semiconductor device, includes: a circuit position memory for storing physical positions of respective circuits included in the semiconductor device; a defective information acquisition unit for acquiring information on a defective circuit included in the semiconductor device; and a display for displaying the defective circuit on a layout of the semiconductor device with a color that is different between the physical positions.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: July 4, 2006
    Assignee: Advantest Corporation
    Inventors: Katsuhito Nagano, Shuichiro Ogawa
  • Patent number: 7046355
    Abstract: A method and apparatus for inspecting patterned substrates, such as photomasks, for unwanted particles and features occurring on the transmissive as well as pattern defects. A transmissive substrate is illuminated by a laser through an optical system comprised of a laser scanning system, individual transmitted and reflected light collection optics and detectors collect and generate signals representative of the light transmitted and reflected by the substrate. The defect identification of the substrate is performed using transmitted and reflected light signals from a baseline comparison between two specimens, or one specimen and a database representation, to form a calibration pixelated training set including a non-defective region. This calibration pixilated training set is compared to a transmitted-reflected plot map of the subject specimen to assess surface quality.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: May 16, 2006
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Zongqiang Yu
  • Patent number: 7038773
    Abstract: Disclosed are methods and apparatus for analyzing the Raze data provided by an optical inspection tool. The Haze data is analyzed so as to detect defects associated with the specimen surface. In general, the Haze data is first conditioned so that background noise which corresponds to low frequency variation on the specimen is separated or removed from the Haze data prior to analysis of such Haze data. In a specific embodiment, low frequency variations in the specimen surface are characterized, in effect, as an optical surface upon which an incident beam is directed. The Haze data that conforms to this resulting polynomial equation is then subtracted from the original Haze data to result in residual data, where slow variations in surface roughness are subtracted out, leaving possible defect information in the residual Haze data. This residual Haze data may then be analyzed to determine whether the specimen contains a defect.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: May 2, 2006
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Lionel Kuhlmann, Jianbo Gao, Mark C. Sweeney
  • Patent number: 7023540
    Abstract: In the case of a method and a system for visually detecting paint gloss deviations, particularly a fogginess and mottling of the paint, in a surface paint coat of a vehicle by means of an illuminating system illuminating the vehicle, the outer surfaces of the two vehicle sides as well as additional surfaces of the forward and rearward vehicle body are illuminated by light beaming devices of the illuminating system in a partially areal manner. These surfaces are to be checked at a distance from the vehicle at predefined viewing ranges and from fixed viewing positions on a marked path.
    Type: Grant
    Filed: September 15, 2003
    Date of Patent: April 4, 2006
    Assignee: Dr. Ing. h.c.F. Porsche AG
    Inventors: Ralf Ringler, Mario Pawlowski
  • Patent number: 7019835
    Abstract: The present invention is directed to providing a method and system to measure characteristics of a film disposed on a substrate. The method includes identifying a plurality of processing regions on the film; measuring characteristics of a subset of the plurality of processing regions, defining measured characteristics; determining a variation of one of the measured characteristics; and associating a cause of the variations based upon a comparison of the one of the measured characteristics to measured characteristics associated with the remaining processing regions of the subset. The system carries out the aforementioned method.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: March 28, 2006
    Assignee: Molecular Imprints, Inc.
    Inventors: Ian M. McMackin, Phillip D. Schumaker, Byung-Jin Choi, Sidlgata V. Sreenivasan, Michael P. C. Watts
  • Patent number: 7006128
    Abstract: A system and method for object detection with sudden illumination changes provides a system including a camera model unit for computing a difference map between a first input image and a second input image, an illumination model unit in signal communication with the camera model unit for comparing the sign of the difference between two pixels in a first input image against the sign of the difference between two pixels in a second input image, and a CPU in signal communication with the camera model unit and the illumination model unit for detecting a changed object in accordance with said comparison when said sign of the difference is changed; and a corresponding method including providing a first image having a number of pixels, receiving a second image subsequent to the first image, calculating a difference map between the first and second images, selecting a pair of pixel locations from the first or second images in accordance with the difference map, statistically modeling a camera noise level for the firs
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: February 28, 2006
    Assignee: Siemens Corporate Research, Inc.
    Inventors: Binglong Xie, Visvanathan Ramesh, Terrance Boult
  • Patent number: 7002699
    Abstract: A technique for identifying beam images of a beam matrix includes a number of steps. Initially, a plurality of light beams of a beam matrix, which are arranged in rows and columns, are received after reflection from a surface of a target. Next, a reference light beam is located in the beam matrix. Then, a row pivot beam is located in the beam matrix based on the reference beam. Next, remaining reference row beams of a reference row that includes the row pivot beam and the reference beam are located. Then, a column pivot beam in the beam matrix is located based on the reference beam. Next, remaining reference column beams of a reference column that includes the column pivot beam and the reference beam are located. Finally, remaining ones of the light beams in the beam matrix are located.
    Type: Grant
    Filed: February 23, 2004
    Date of Patent: February 21, 2006
    Assignee: Delphi Technologies, Inc.
    Inventors: Hongzhi Kong, Qin Sun, Stephen J. Kiselewich
  • Patent number: 6974963
    Abstract: When a base film of a substrate is formed, for instance, on a scribe line of a wafer, a quadrangular first inspection pattern is formed in advance, and when a resist pattern is formed, a second inspection pattern are formed so as to be on a straight line to the first inspection pattern in a top plan view. When light is irradiated to a region including the first inspection pattern and the second inspection pattern and a spectrum is formed based on the reflected diffracted light, information of a line width of the second inspection pattern and a pitch of both inspection patterns is contained therein. In this connection, by preparing in advance a group of spectra based on various kinds of inspection patterns according to simulation and by comparing with an actual spectrum, the most approximate spectrum is selected, and thereby the line width and the pitch are estimated to evaluate the resist pattern.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: December 13, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Michio Tanaka, Makoto Kiyota, Takashi Aiuchi, Ryouichi Uemura