Chamber Of A Type Utilized For A Heating Function And Material Charging Or Discharging Means Therefor Patents (Class 414/147)
  • Patent number: 8978670
    Abstract: Provided is a substrate processing apparatus wherein, even if a trouble occurs, it is bound to continue a process for the substrate without stopping the substrate processing apparatus entirely. The substrate processing apparatus according to the present disclosure includes first and second substrate conveying devices configured to convey wafers, and first and second processing blocks provided on the right and left sides of the substrate conveying device and having processing unit arrays each configured to perform the same process. Processing unit arrays on one side and processing unit arrays on the other side are respectively connected to a processing liquid supply system commonly provided with them. And, when any one of substrate conveying devices, processing liquid supply systems has a problem, the process for the wafer can be performed in the processing unit array to which the substrate conveying device and the processing liquid supply system under normal operation belong.
    Type: Grant
    Filed: October 4, 2010
    Date of Patent: March 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Junya Minamida, Issei Ueda, Yasuhiro Chouno, Osamu Kuroda, Kazuyoshi Eshima, Masahiro Yoshida, Satoshi Morita
  • Patent number: 8951469
    Abstract: A sterile enclosure contains a transfer module defining a window. A port covers the window to maintain the inside of the enclosure as a sealed and sterile environment. A sliding, heated cutting element mounted on the port serves to sterilize and sever a portion of a sterile transfer bag assembly attached to the port. Preferably, the excised portion of the sterile transfer bag assembly is affixed to the port when the port opens. The sliding cutting element remains extended and heated to prevent contamination when the port is open. Further, a heating element is mounted about the window in order to sterilize around the opening when the port is open.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: February 10, 2015
    Assignee: MedInstill Development LLC
    Inventor: Daniel Py
  • Patent number: 8631809
    Abstract: An interface block is constituted by a cleaning/drying processing block and a carry-in/carry-out block. The cleaning/drying processing block includes cleaning/drying processing sections and a transport section. The transport section is provided with a transport mechanism. The carry-in/carry-out block is provided with a transport mechanism. The transport mechanism carries substrates in and out of an exposure device.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: January 21, 2014
    Assignee: Sokudo Co., Ltd.
    Inventors: Tetsuya Hamada, Takashi Taguchi
  • Patent number: 8525662
    Abstract: An out of product indicator is provided. The out of product indicator includes a power generator, a sensor and an alarm. The power generator is configured to generate power based on an interaction with at least one aspect of the device it is coupled thereto. The sensor is configured to determine when a product is low. The alarm is coupled to receive power generated by the power generator. Moreover the alarm is activated based on an output of the sensor.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: September 3, 2013
    Assignee: Ecolab USA Inc.
    Inventors: Aron D. Dahlgren, Christopher D. Diller, Nicholas D. Parent, Blake R. Otting
  • Patent number: 8517657
    Abstract: A disk processing system with a corner chamber having a heater assembly and a carrier rotary assembly configured to rotate the heater assembly.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: August 27, 2013
    Assignee: WD Media, LLC
    Inventors: Jinliang Chen, Noe D. Taburaza
  • Publication number: 20130004266
    Abstract: A device for managing solder paste includes a storage room, a warm up room, a preparing room and a central control device, wherein the storage room, the warm up room and the preparing room respectively have a transportation unit for delivering a solder paste can. There are reading devices disposed respectively at an inlet/outlet of the above rooms for reading information of the solder paste can when the solder paste can passes thereby, and the central control device updates storage information for the solder paste cans. The central control device may access production information of a production line from a production managing system, and thus analyze the mold number and amount of solder paste cans, receive the solder paste cans based on a first-in, first-out manner, and deliver the solder paste cans to the warm up room for the warm up treatment.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 3, 2013
    Applicants: Askey Computer Corporation, Askey Technology (Jiangsu) Ltd.
    Inventors: Shao-Chun Chang, Ching-Feng Hsieh
  • Patent number: 8328494
    Abstract: A vacuum assembly used for warming processed substrates above the dew point to prevent unwanted moisture on the processed substrate surfaces as well as reducing negative impact on manufacturing throughput. The vacuum assembly includes a processing chamber, a substrate handling robot, and a heater which may be an optical heater. The processing chamber is configured to cryogenically process one or more substrates. The transfer chamber is connected to the processing chamber and houses the substrate handling robot. The substrate handling robot is configured to displace one or more substrates from the processing chamber to the transfer chamber. The heater is connected to the transfer chamber above the substrate handling robot such that the heater emits energy incident on the substrate when the substrate handling robot displaces the substrate in the transfer chamber.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: December 11, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Roger B. Fish, Jeffrey E. Krampert
  • Patent number: 8318091
    Abstract: A sterile enclosure contains a transfer module defining a window. A port covers the window to maintain the inside of the enclosure as a sealed and sterile environment. A sliding, heated cutting element mounted on the port serves to sterilize and sever a portion of a sterile transfer bag assembly attached to the port. Preferably, the excised portion of the sterile transfer bag assembly is affixed to the port when the port opens. The sliding cutting element remains extended and heated to prevent contamination when the port is open. Further, a heating element is mounted about the window in order to sterilize around the opening when the port is open.
    Type: Grant
    Filed: April 24, 2012
    Date of Patent: November 27, 2012
    Inventor: Daniel Py
  • Patent number: 8163251
    Abstract: A sterile enclosure contains a transfer module defining a window. A port covers the window to maintain the inside of the enclosure as a sealed and sterile environment. A sliding, heated cutting element mounted on the port serves to sterilize and sever a portion of a sterile transfer bag assembly attached to the port. Preferably, the excised portion of the sterile transfer bag assembly is affixed to the port when the port opens. The sliding cutting element remains extended and heated to prevent contamination when the port is open. Further, a heating element is mounted about the window in order to sterilize around the opening when the port is open.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: April 24, 2012
    Inventor: Daniel Py
  • Publication number: 20110135535
    Abstract: A sterile enclosure contains a transfer module defining a window. A port covers the window to maintain the inside of the enclosure as a sealed and sterile environment. A sliding, heated cutting element mounted on the port serves to sterilize and sever a portion of a sterile transfer bag assembly attached to the port. Preferably, the excised portion of the sterile transfer bag assembly is affixed to the port when the port opens. The sliding cutting element remains extended and heated to prevent contamination when the port is open. Further, a heating element is mounted about the window in order to sterilize around the opening when the port is open.
    Type: Application
    Filed: September 10, 2002
    Publication date: June 9, 2011
    Inventor: Daniel Py
  • Publication number: 20100119337
    Abstract: Embodiments of the invention provide a thermal processing system and methods for uniformly heating and/or cooling a semiconductor wafer. Embodiments of the invention may be applied to provide a more uniform temperature profile when processing 300 mm and larger wafers having different curvature profiles that occur at the same and/or different points in a manufacturing cycle. Wafer curvature can be dependent on the number and thickness of the metal layers.
    Type: Application
    Filed: November 7, 2008
    Publication date: May 13, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Andrew Wallmueller
  • Patent number: 7677858
    Abstract: Disclosed is an improved transfer mechanism that transfers, in a vertical heat treatment system, process objects W between a container (carrier), and a holder (boat) holding plural process objects at vertical intervals. The transfer mechanism includes plural substrate support devices spaced at intervals, and each of the substrate support devices has a gripping mechanism for gripping a process object on the under side. The gripping mechanism includes a fixed engagement member on a distal end of the substrate support device, and a movable engagement member movably attached to a proximal end of the substrate support device.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: March 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Asari, Katsuhiko Mihara, Hiroshi Kikuchi
  • Publication number: 20090279988
    Abstract: A loader assembly for a TEM machine having a retractable arm that is moveable between a retracted position and an extended position. A hoop portion of the retractable arm also moves between the retracted position and the extended position. A lower closure for holding a part to be processed in the TEM machine is removably positioned in the hoop portion. A ram piston of the TEM machine is movable between a load position and a process position. When the ram piston moves from a load position to a process position the ram piston contacts the lower closure and extends through the hoop of the retractable arm to move the lower closure to the process position. A thermal chamber receives the lower closure when the ram piston moves to the process position.
    Type: Application
    Filed: May 6, 2008
    Publication date: November 12, 2009
    Inventors: Miles Edmond Loretta, Daryl Duane Perkins
  • Publication number: 20090269170
    Abstract: A panels-off painting process and system adapted for use with a plurality of workpieces includes a carrier having a main platform, stationary support structures, and at least one rotatable assembly interconnected to the platform, configured so as to be caused to shift at least a portion of the workpieces between first and second orientations, and including a counterbalance that reduces the force necessary to cause the shift, and preferably further includes a retrofitted robotic arm programmably configured to apply a coat to the workpieces and subsequently engage the assembly so as to cause the shift.
    Type: Application
    Filed: April 24, 2008
    Publication date: October 29, 2009
    Applicant: GM GLOBAL TECHNOLOGY OPERATIONS, INC.
    Inventor: Jeffrey W. Bradsher
  • Patent number: 7603028
    Abstract: A thermal treatment system comprising at least one apparatus for thermally treating an object, the apparatus comprising: one platform or two substantially opposite platforms, where at least one of the platforms has at least one thermal means for heating or cooling of the object; and at least one of the platforms has fluid-mechanical means for supporting the object without contact. The platform has an active surface comprising at least one of a plurality of basic cells, each basic cell having at least one of a plurality of pressure outlets and at least one of a plurality of fluid-evacuation channels. At least one of the pressure outlets of each basic cell is fluidically connected through a flow restrictor to a high-pressure fluid supply, the pressure outlets providing pressurized fluid for maintaining a fluid-cushion between the object and the active-surface of the platform. The flow restrictor characteristically exhibits fluidic return spring behavior.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: October 13, 2009
    Assignee: Coreflow Scientific Solutions Ltd.
    Inventors: Yuval Yassour, Arie Harnik, Hilel Richman
  • Publication number: 20090241310
    Abstract: Film thickness uniformity and stoichiometry are controlled and deposition rate is increased in the chemical vapor deposition (CVD) of silicon nitride from complex gas mixtures in microwave plasmas. In Si2H6+NH3+Ar gas mixtures using a radial line slot antenna (RLSA) microwave plasma to deposit SiN by CVD, deposition rate and film uniformity are improved by limiting the amounts of atomic or molecular hydrogen from the gas mixture during the deposition process. A halogen, for example, fluorine, is added to a gas mixture of silane or disilane, ammonia and argon. The halogen scavenges hydrogen from the mixture, and prevents the hydrogen from blocking the nitrogen and silicon atoms and their fragments from bonding to the surface atoms and to grow stoichiometric silicon nitride. Adding the halogen generates free halogen radicals that react with hydrogen to create hydrogen halide, for example, HF or HCl, thereby scavenging the hydrogen.
    Type: Application
    Filed: March 31, 2008
    Publication date: October 1, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jozef Brcka, Song Yun Kang, Toshio Nakanishi, Peter L.G. Ventzek, Minoru Honda, Masayuki Kohno
  • Patent number: 7577517
    Abstract: Each of guided vehicles has an operating condition memory unit for storing the total travel distance, the travel time, the number of travels, the number of errors at a stop position, and the number of article transfers. These values are evaluated by an evaluation unit 53, and the machine difference is measured again for each of the guided vehicles.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: August 18, 2009
    Assignee: Murata Kikai Kabushiki Kaisha
    Inventor: Atsuo Nagasawa
  • Publication number: 20090158664
    Abstract: A method for transporting a solid particulate within a gasifier system is provided. The method includes discharging solid particulate through an inlet into an opening defined within a rotor, wherein the inlet and the solid particulate are at a first pressure. The method further includes rotating the rotor such that at least one end of the opening is aligned in flow communication with a duct at a second pressure that is different from the first pressure. The solid particulate is discharged from the rotor opening through an outlet such that the solid particulate is at the second pressure, and the rotor is rotated such that at least one end of the rotor opening is aligned in flow communication with a pressure source that is at approximately the first pressure, and such that the opening is at the first pressure.
    Type: Application
    Filed: December 20, 2007
    Publication date: June 25, 2009
    Inventors: Jyung-Hoon Kim, John Saunders Stevenson, Steven Craig Russell, Aaron John Avagliano
  • Publication number: 20090087286
    Abstract: Linear semiconductor handling systems provide more balanced processing capacity using various techniques to provide increased processing capacity to relatively slow processes. This may include use of hexagonal vacuum chambers to provide additional facets for slow process modules, use of circulating process modules to provide more processing capacity at a single facet of a vacuum chamber, or the use of wide process modules having multiple processing sites. This approach may be used, for example, to balance processing capacity in a typical process that includes plasma enhanced chemical vapor deposition steps and bevel etch steps.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 2, 2009
    Inventor: Peter van der Meulen
  • Publication number: 20090060686
    Abstract: A chilled arm that transports a substrate to and from a heating plate for performing a heating process on the substrate is formed with a flow passage pipe therein, and cools the entire holding area thereof opposed to the substrate held by the chilled arm to a predetermined reference temperature by supplying circulating cooling water through the flow passage pipe. Six polyimide heaters are affixed to the holding area to control the temperature of at least a portion of the holding area at a temperature different from the reference temperature. These two temperature control mechanisms intentionally provide a temperature distribution to the holding area to thereby provide an intentional temperature distribution to the substrate before and after the heat treatment by the heating plate. This reduces the nonuniformity of a temperature distribution which typically occurs in the heating plate to accomplish a uniform heat treatment throughout the heat treatment process step.
    Type: Application
    Filed: March 11, 2008
    Publication date: March 5, 2009
    Applicant: Sokudo Co., Ltd.
    Inventors: Akihiko Morita, Kenichi Oyama, Koji Nishi
  • Patent number: 7497985
    Abstract: To facilitate a trouble-free charging of scrap metal having differing constitutions, such as light and heavy scrap metal, from a lower discharge opening of a shaft-shaped charging device or a charging stock preheater (1) into a melting vessel by a pusher (13), the lateral surfaces of the pusher (13) are formed so as to converge from the upper side to the lower side and the actuating device (2) of the pusher (13) is pivotably supported in a frame structure (3) about a horizontal axis. In addition, the upper boundary of the discharge opening for the charging stock from the shaft (2) is preferably formed by a horizontal, rotatably supported roller (26), more preferably with engaging elements (30) distributed around the circumferential surface. Sections of the charging device that are severely mechanically stressed are preferably formed by steel billet sections connected to form a structural unit.
    Type: Grant
    Filed: November 25, 2004
    Date of Patent: March 3, 2009
    Assignee: Fuchs Technology AG
    Inventor: Gerhard Fuchs
  • Publication number: 20090053021
    Abstract: A semiconductor manufacturing apparatus comprises a substrate transfer device for transferring a substrate, a substrate detector unit including a light emitter unit for emitting light onto the substrate and a light receiver unit for receiving the light to detect the substrate transferred by the substrate transfer device, and a controller for receiving data on the received light quantity from the light receiver unit. Multiple mutually non-overlapping light quantity ranges are pre-registered in the controller, and when light emitted from the light emitter unit reaches the light receiver unit by way of the substrate, the controller identifies which of the pre-registered light quantity ranges is the received light quantity, and outputs a command according to the identified light quantity range.
    Type: Application
    Filed: March 28, 2006
    Publication date: February 26, 2009
    Inventors: Norichika Yamagishi, Sadao Hisakado
  • Publication number: 20090016853
    Abstract: A system for processing semiconductor wafers, includes a plurality of front opening unified pods (FOUPs), loadlocks for receiving the plurality of wafers, a plurality of process chambers configured to perform processing steps and or measurement steps on the wafers, loadlock cooling stations for receiving the wafers from the processing chambers and a transport chamber interconnecting the loadlocks, cooling chambers and process chambers. A first multi-axis robot transfers wafers between the FOUPs, loadlocks and loadlock cooling stations, at an ambient pressure. A second multi-axis robot tranfers wafers between the loadlocks, process chambers and the loadlock cooling stations, and is adapted to operate in a transport chamber at a pressure that is different from the ambient pressure.
    Type: Application
    Filed: July 9, 2007
    Publication date: January 15, 2009
    Inventor: Woo Sik Yoo
  • Publication number: 20080292430
    Abstract: A device for doping, deposition or oxidation of semiconductor material at low pressure in a process tube, is provided with a tube closure as well as devices for supplying and discharging process gases and for generating a negative pressure in the process tube. A closure of the process chamber that is gas tight with respect to the process gases and the vacuum tight seal of the end of the tube closure are spatially separated from each other in relation to the atmosphere and are arranged on a same side of the process tube in such a manner that a bottom of a stopper, sealing the process chamber, rests against a sealing rim of the process tube and the tube closure end is sealed vacuum tight by means of a collar, which is attached to the process tube and against which a door rests sealingly.
    Type: Application
    Filed: May 21, 2008
    Publication date: November 27, 2008
    Applicant: Centrotherm Photovoltaics AG
    Inventors: Alexander PIECHULLA, Claus Rade, Robert Michael Hartung
  • Publication number: 20080286075
    Abstract: Disclosed are a method for producing a semiconductor device and a substrate processing apparatus. The method comprises a step of carrying a substrate into a processing chamber, a step of feeding a material gas into the processing chamber to thereby form a high dielectric constant film on the substrate, a step of carrying the substrate after film formation thereon out of the processing chamber, and a step of feeding an O3 gas and a Cl-containing gas into the processing chamber under the condition that, when the number of the Cl atoms in the Cl-containing gas is indicated by n, the flow rate of the O3 gas is at least 2n times the flow rate of the Cl-containing gas, thereby removing the film adhering inside the processing chamber to clean the inside of the processing chamber.
    Type: Application
    Filed: May 12, 2008
    Publication date: November 20, 2008
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Sadayoshi Horii
  • Publication number: 20080226428
    Abstract: An apparatus for processing substrates may include a substrate handling chamber having a substrate load port on a side wall, and a movable platform movably engaged with the handling chamber between a first position and a second position. The first position is such that a substrate carrier on the movable platform is inaccessible by a substrate handling robot inside the chamber. The second position is near to the load port such that a substrate carrier on the movable platform is accessible by a substrate handling robot inside the handling chamber. The movable platform is configured to rotate about a generally vertical axis between the first and second positions.
    Type: Application
    Filed: March 16, 2007
    Publication date: September 18, 2008
    Inventors: Ravinder Aggarwal, Jeroen Stoutjesdijk
  • Publication number: 20080213467
    Abstract: An ink for forming CIGS photovoltaic cell active layers is disclosed along with methods for making the ink, methods for making the active layers and a solar cell made with the active layer. The ink contains a mixture of nanoparticles of elements of groups IB, IIIA and (optionally) VIA. The particles are in a desired particle size range of between about 1 nm and about 500 nm in diameter, where a majority of the mass of the particles comprises particles ranging in size from no more than about 40% above or below an average particle size or, if the average particle size is less than about 5 nanometers, from no more than about 2 nanometers above or below the average particle size. The use of such ink avoids the need to expose the material to an H2Se gas during the construction of a photovoltaic cell and allows more uniform melting during film annealing, more uniform intermixing of nanoparticles, and allows higher quality absorber films to be formed.
    Type: Application
    Filed: October 31, 2007
    Publication date: September 4, 2008
    Inventors: Dong Yu, Jacqueline Fidanza, Brian M. Sager
  • Publication number: 20080145190
    Abstract: A thermal treatment system comprising at least one apparatus for thermally treating an object, the apparatus comprising: one platform or two substantially opposite platforms, where at least one of the platforms has at least one thermal means for heating or cooling of the object; and at least one of the platforms has fluid-mechanical means for supporting the object without contact. The platform has an active surface comprising at least one of a plurality of basic cells, each basic cell having at least one of a plurality of pressure outlets and at least one of a plurality of fluid-evacuation channels. At least one of the pressure outlets of each basic cell is fluidically connected through a flow restrictor to a high-pressure fluid supply, the pressure outlets providing pressurized fluid for maintaining a fluid-cushion between the object and the active-surface of the platform. The f low restrictor characteristically exhibits fluidic return spring behavior.
    Type: Application
    Filed: March 16, 2005
    Publication date: June 19, 2008
    Inventors: Yuval Yassour, Arie Harnik, Hilel Richman
  • Patent number: 7229240
    Abstract: When the processing temperature in a heater should be changed between lots, for example, a foremost substrate in a subsequent lot is transported to a position close to the heater such as a substrate holding part, and is held in standby thereat until adjustment of a processing environment (namely, change of the processing temperature) is completed. The substrate held in standby is thereafter transported to the heater. As compared with the case in which substrates are placed in standby in an indexer, substrates can be fed faster to the heater after change of the processing temperature, thereby suppressing throughput reduction.
    Type: Grant
    Filed: September 22, 2004
    Date of Patent: June 12, 2007
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kenji Hashinoki, Yasufumi Koyama, Takaharu Yamada
  • Patent number: 6786974
    Abstract: Both of a first insulating film and a second insulating film are formed by a spin coating method. Accordingly, the formation of the first insulating film and the second insulating film can be performed in the same SOD processing system. Moreover, the aforesaid formation of both of the first insulating film and the second insulating film by the spin coating method can provide favorable low dielectric constant properties and good adhesion of the first insulating film and the second insulating film.
    Type: Grant
    Filed: March 17, 2003
    Date of Patent: September 7, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Komiya, Shinji Nagashima, Shigeyoshi Kojima
  • Publication number: 20040096299
    Abstract: The aim of the invention is to develop a hot rolling unit, comprising at least one continuous casting unit (1) with a post-arranged furnace (4), followed by a roughing stand (5) and, over a strip edge guide, a soaking furnace (15) and finishing train, such that downtime is reduced to a minimum level and even during said downtime, as well as in planned cases of withdrawal of pre-strip material, the pre-strip (14), behind the roughing stand in the soaking furnace, can be separated off from the normal rolling cycle.
    Type: Application
    Filed: December 22, 2003
    Publication date: May 20, 2004
    Inventors: Peter Sudau, Klaus Baumer, Karl-Friedrich Muller
  • Publication number: 20030175097
    Abstract: A substrate loading/unloading apparatus include a body part, an arm part connected to the body part for loading/unloading a substrate, a finger connector connected to the arm part, and a plurality of finger parts connected to the finger connector, wherein each of the finger parts include a plurality of protrusions to contact a bottom surface of the substrate.
    Type: Application
    Filed: September 30, 2002
    Publication date: September 18, 2003
    Applicant: LG. Philips LCD Co., Ltd.
    Inventors: Sang Seok Lee, Sang Ho Park
  • Publication number: 20030113186
    Abstract: A vacuum heat-treatment apparatus for heat-treating a workpiece in a treating cell includes a hermetic chamber disposed at the center. A plurality of treating cells are disposed along the periphery of the hermetic chamber, and a workpiece transfer mechanism is disposed inside the hermetic chamber and transfers the workpiece from one of the treating cells to the hermetic chamber and from the hermetic chamber to one of the treating cells.
    Type: Application
    Filed: December 11, 2002
    Publication date: June 19, 2003
    Applicant: JH CORPORATION
    Inventor: Noboru Hiramoto
  • Publication number: 20030099535
    Abstract: A manufacturing apparatus of a semiconductor device includes an introducing section, a process section, and a withdrawing section. The introducing section introduces a transfer box therein. The process section takes in the semiconductor substrate put in the introducing section and applies a prescribed processing to the semiconductor substrate. Further, the withdrawing section is arranged on a surface differing from the surface on which the introducing section is arranged and discharges the transfer box holding the semiconductor substrate withdrawn from the process section of the semiconductor substrate.
    Type: Application
    Filed: November 27, 2002
    Publication date: May 29, 2003
    Inventor: Kunihiro Miyazaki
  • Publication number: 20020085899
    Abstract: A substrate processing system includes a substrate handling chamber and an integrated load lock chamber. The load lock chamber has a gated inlet for the transfer of a substrate into and out of the load lock chamber and a gated port for transferring a substrate between the load lock chamber and the substrate handling chamber. The substrate handling chamber includes a staging shelf that is positioned above the load lock chamber and a substrate handler for moving a substrate between the load lock chamber and the staging shelf. In use, a first substrate is placed at a load lock station that is located inside the load lock chamber. The first substrate is moved from the load lock station to a staging shelf located inside the substrate handling chamber. A second substrate is moved from a cooling station in the substrate handling chamber to the load lock station. A third substrate is moved from a substrate processing chamber to the cooling station.
    Type: Application
    Filed: January 4, 2001
    Publication date: July 4, 2002
    Inventors: Ravinder Aggarwal, James F. Kusbel
  • Publication number: 20020005168
    Abstract: A method and apparatus for transferring a substrate between a first environment having a first pressure and a second environment having a vacuum pressure is provided. In one embodiment, the apparatus comprises a chamber body having a first port disposed in a first wall and a second port disposed in a second wall that seals the chamber from the first and second environments. A cooling plate, a first substrate holder and a second substrate holder are disposed within the chamber body. The cooling plate is disposed at the bottom of the chamber body. The first port and the second port are sequentially opened and the pressure within the load lock regulated to allow substrate to pass through the load lock. A window is disposed in the top of the chamber body that allows a metrology device to view the chamber volume.
    Type: Application
    Filed: July 16, 2001
    Publication date: January 17, 2002
    Applicant: Applied Materials, Inc.
    Inventors: Joseph Arthur Kraus, James David Strassner
  • Publication number: 20010041319
    Abstract: A jig comprises: a main body; a retainer for retaining a block in which at least one row of sections to be sliders is aligned; a middle load application section coupled to a portion of the retainer located in the middle of the length thereof; end load application sections coupled to portions of the retainer near ends of the length thereof; middle couplers located between the middle load application section and each of the end load application sections, respectively, for coupling the main body to the retainer; and end couplers for coupling the main body to the ends of the length of the retainer. The length between the middle of the retainer and each of the ends thereof is greater than the length between the middle of the retainer and each of the end load application sections.
    Type: Application
    Filed: December 12, 2000
    Publication date: November 15, 2001
    Applicant: TDK CORPORATION
    Inventors: Kazuo Ishizaki, Makoto Hasegawa
  • Publication number: 20010038295
    Abstract: A test handler for automatically testing rambus type semiconductor devices.
    Type: Application
    Filed: December 4, 2000
    Publication date: November 8, 2001
    Inventors: Nam-Hyoung Kim, Jae-Gyun Shim, Beum-Hee Lee
  • Publication number: 20010016307
    Abstract: A processing unit for a substrate has a vertical thermal processing furnace 4 having a bottom and an opening 4a provided at the bottom. A boat 3 holding substrates W in vertical multistairs can be placed on a first lid 17, and the first lid 17 can open and close the opening 4a of the vertical thermal processing furnace 4 with the boat 3 placed thereon. The processing unit also has a boat-placing portion 19 on which the boat 3 and another boat 3 can be placed and a boat conveying mechanism 21 for conveying the two boats 3 alternatively between the boat-placing portion 19 and the first lid 17. A second lid 18 hermetically closes the opening 4a of the vertical thermal processing furnace 4 when the first lid 17 opens the opening 4a but no boat 3 passes through the opening 4a.
    Type: Application
    Filed: April 26, 2001
    Publication date: August 23, 2001
    Inventor: Katsumi Ishii
  • Patent number: 6267587
    Abstract: An apparatus and a method for curing coatings on ophthalmic lenses is disclosed. The apparatus includes an oven that is continuously supplied with lenses. A vertically oriented conveyor having multiple carrier bars for supporting the lenses is positioned within the oven. The conveyor moves the lenses through a series of positions within the oven where the lenses are subjected to heat. Ambient air is filtered and forced into the oven by an intake blower which keeps the air pressure within the oven above the ambient air pressure. Air is recirculated and filtered within the oven by recirculation blowers. Air is exhausted from the oven by an exhaust blower which effects air exchange with the ambient.
    Type: Grant
    Filed: July 6, 2000
    Date of Patent: July 31, 2001
    Assignee: Johnson & Johnson Vision Care, Inc.
    Inventors: Joseph A. Bishop, Gary Marcau, Venkatramani Iyer, Brian McCrady
  • Publication number: 20010001951
    Abstract: At least one chamber is provided for transport of workpieces such as storage disks, at least at times, into the vacuum atmosphere, during their manufacturing and comprises at least two exterior openings for the guiding-through of a workpiece. A majority of workpiece-receiving devices can be rotated jointly about an axis. At least one transport element is provided and is aligned with an opening. The transport element is disposed in the chamber independently of the rotatable workpiece-receiving devices and can be moved out and back in a radially controlled manner in at least one component, and engages on a workpiece in the opening area. A transport method for workpieces in an evacuatable chamber provides that at least two workpieces are rotated about a center in a plane of rotation and are displaced individually in a radial manner with respect to the center of rotation at least in one movement component.
    Type: Application
    Filed: May 18, 2000
    Publication date: May 31, 2001
    Inventor: Roman Schertler
  • Patent number: 6092980
    Abstract: An exclusive carrier (EQMC) housing therein equipment testing wafers (EQMW) is housed in a carrier housing rack (32) of a treatment equipment. An equipment testing parameter setting section (62) is provided for setting a cycle, in which the equipment testing wafers (EQMW) are carried in a heat treating furnace (21), and the number and holding position of the equipment testing wafers on a wafer boat (23). The equipment testing wafers (EQMW) are held in dummy wafer holding regions (D) at the upper and lower end portions of the wafer boat (23) to be heat-treated in the set cycle. After the heat treatments of all the equipment testing wafers (EQMW) in the equipment testing carrier (EQMC) are completed, the wafers (EQMW) are transferred to a detecting device, and the state of the treatment equipment is grasped on the basis of the treated state.
    Type: Grant
    Filed: October 28, 1997
    Date of Patent: July 25, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Iwao Kumasaka, Koji Kubo, Makoto Suzuki, Yuji Tsunoda
  • Patent number: 5976258
    Abstract: A system and method for processing a substrate. The system includes a substrate heating station, a processing station, a conveyor for transporting the heated substrate from the substrate heating station to the processing station and a conveyor heating station. A substrate transfer station is located between the substrate heating station, the conveyor heating station and the processing station for the transfer of the heated substrate to the conveyor in a thermally controlled environment isolated from contaminants. The substrate transfer station includes a housing having an interior chamber, first and second inlets for the separate passage of a substrate and conveyor into the interior chamber, and an outlet for the egress of the substrate and conveyor. The transfer station also includes a heater and a guide assembly for directing the conveyor between the conveyor inlet and the outlet.
    Type: Grant
    Filed: February 5, 1998
    Date of Patent: November 2, 1999
    Assignee: Semiconductor Equipment Group, LLC
    Inventor: Timothy Norpell Kleiner
  • Patent number: 5915308
    Abstract: A discharge apparatus includes a housing into which a discharge pipe of a carbonization drum opens. A residue discharge chute which starts from the housing opens into a conveying device ending at an outlet. A filling-level meter is disposed at the residue discharge chute. The conveying device has a profiled separating shelf with an end remote from the mouth of the residue discharge chute, at which a bar screen is formed. The bar screen covers a delivery opening for fine residue and ends at the outlet for coarse residue. A vibrator is associated with the conveying device.
    Type: Grant
    Filed: July 20, 1998
    Date of Patent: June 29, 1999
    Assignee: Siemens Aktiengesellschaft
    Inventors: Karl May, Werner Axt, Eugen Schlag, Heinz Sattler, Klaus Busch
  • Patent number: 5564340
    Abstract: A coke oven door extractor is mounted on the rail car and includes door engaging supports movable in individually differing amounts relative to a plane parallel to and including the door jamb and a door jamb height axis of symmetry and movable vertically, allowing the door extractor to compensate for front-to-back tilting and side-to-side leaning of the coke oven door jamb due to thermal distortion of the battery and variations in individual door heights. The extractor includes a first frame pivotally mounted to the car, a second frame horizontally translatably mounted to the first frame, and a third frame vertically translatably and pivotally mounted to the second frame, with the third frame including the door supports.
    Type: Grant
    Filed: December 29, 1993
    Date of Patent: October 15, 1996
    Assignee: Saturn Machine & Welding Co., Inc.
    Inventors: Billy C. Baird, Robert H. Higginson, Roger A. Kares
  • Patent number: 5475969
    Abstract: An improved system for applying labels to pharmaceutical vials or the like includes a heating tunnel assembly having an insulated heating chamber defined by a first stationary portion and a second removable portion, with a heater positioned within the insulated heating chamber. The assembly includes movable mounting structure for mounting the second removable portion of the insulated heating chamber for movement between a first position engaged with the first stationary portion, and a second retracted position remote from said first portion. An actuator is provided for moving the second portion of the insulated heating chamber into its engaged position, while a biasing spring resiliently biases the second removable portion of the chamber toward the retracted position. As a result, the heating tunnel assembly will automatically be opened to prevent products from overheating or burning in the event of an emergency that disables the actuator.
    Type: Grant
    Filed: July 23, 1993
    Date of Patent: December 19, 1995
    Assignee: McNeil-PPC, Inc.
    Inventor: Harry Sowden
  • Patent number: 5033926
    Abstract: Screens for limiting heat losses from heated material, e.g. bars and slabs in rolling mills. Screens are provided in the form of strings of heat insulating bodies composed of metal shells containing insulating material. These screens may form curtains and/or covers for the hot material. Containing chambers for the hot material may be provided with such strings of elements and the chambers may also comprise structure for limiting heat conduction losses through the material supports therein. The screens may be collapsed over the hot material thereby completely surrounding and insulating the material from loss of heat. A displaceable chamber installation may also be provided for limiting heat loss when holding and transferring hot material between processing stages.
    Type: Grant
    Filed: December 13, 1989
    Date of Patent: July 23, 1991
    Assignee: Encomech Engineering Services Limited
    Inventors: William R. Laws, Geoffrey R. Reed
  • Patent number: 4976612
    Abstract: A purge tube for protecting a boat(s) of wafers. This purge tube does not enter the furnace. A floating end cap within the tube moves to substantially seal the furance opening during processing. The floating end cap is withdrawn back to the closed end of the tube when the wafer boat(s) is withdrawn into the tube.
    Type: Grant
    Filed: June 20, 1989
    Date of Patent: December 11, 1990
    Assignee: Automated Wafer Systems
    Inventor: Kenneth H. Adams
  • Patent number: 4767251
    Abstract: An apparatus for loading semiconductor wafers into a cantilever diffusion tube includes a cantilever paddle supporting a boatload of wafers. The paddle is moved into alignment with the open end of the cantilever diffusion tube. The open end portion of cantilever diffusion tube is moved to surround the paddle and boat load of wafers. The paddle is lowered slightly, causing the boat load of wafers to be supported on an inside surface of the cantilever diffusion tube and providing clearance around the paddle. The cantilever tube is withdrawn from the paddle, which then is withdrawn from the path of the cantilever diffusion tube. The cantilever tube and the boatload of wafers is moved into the hot zone of the furnace. After a heat treating operation, the cantilever diffusion tube is withdrawn from the furnace, and the reverse operation is performed to unload the boatload of wafers.
    Type: Grant
    Filed: May 6, 1986
    Date of Patent: August 30, 1988
    Assignee: Amtech Systems, Inc.
    Inventor: J. S. Whang
  • Patent number: 4714396
    Abstract: A process for controlling the charging of a shaft furnace of the type utilizing a distribution spout and one or more storage hoppers with each hopper being provided with a dosing device for regulating the flow of charging material from the hopper to the spout. The shaft furnace also includes a weighing system to determine the contents (weight) of the hopper and to adjust the position of the dosing device wherein the dosing valve is opened whenever the real flow Q.sub.r is below the reference flow Q.sub.c and is held in position when the real flow Q.sub.r is above the reference flow Q.sub.c.
    Type: Grant
    Filed: May 12, 1986
    Date of Patent: December 22, 1987
    Inventors: Gilbert Bernard, Emile Breden, Emile Lonardi