Article Frictionally Engaged And Rotated By Relatively Movable Means (e.g., Disc, Endless Belt, Etc.) Patents (Class 414/757)
  • Patent number: 11935227
    Abstract: A notch detecting method for detecting a notch defined in an outer circumferential portion of a wafer includes a placing step of placing the wafer on a rotary table, an image capturing step of acquiring an image of the outer circumferential portion of the wafer, a contour data acquiring step of acquiring contour data including coordinates of a contour of the wafer, a hypothetical circle calculating step of calculating a hypothetical circle that approximates the contour of the wafer, an irregularly shaped area determining step of determining whether an irregularly shaped area exists in the outer circumferential portion of the wafer or not, and a first notch determining step of determining whether the irregularly shaped area is the notch or not.
    Type: Grant
    Filed: October 4, 2021
    Date of Patent: March 19, 2024
    Assignee: DISCO CORPORATION
    Inventors: Yasukuni Nomura, Shinji Yoshida
  • Patent number: 11842887
    Abstract: A film formation apparatus of the present invention is a film formation apparatus which performs deposition on a substrate to be processed, and includes a supply device that is disposed in an evacuable vacuum chamber and supplies a deposition material, and a holding device that holds the substrate to be processed during deposition. The holding device includes a deposition preventing plate that covers a region to which the deposition material is adhered in the holding device, a holder that holds the substrate to be processed, and a position setter that sets a position of the substrate to be processed when the deposition preventing plate and the holder sandwich and hold the substrate to be processed. The position setter includes a roller that comes into contact with a peripheral edge end surface portion of the substrate to be processed.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: December 12, 2023
    Assignee: ULVAC, INC.
    Inventors: Toshinori Kaneko, Tetsuhiro Ohno
  • Patent number: 11837485
    Abstract: Substrate holding hand including a base plate spreading from base toward tip end sides, part of base plate located at base end side, fixed to hand tip portion, holding position at base plate, engaging claw at part of base plate located at tip end side, engaging claw configured to engage part of edge of substrate in vertical or inclined postures, part of edge located lower than center of substrate, moving portion at base end side of holding position and configured to move toward tip end side, and plurality of rotating bodies at the moving portion, being pressed by movement against edge of substrate located lower than holding position and engaged with claw, and plurality of rotating bodies pushing substrate upward holding position while rotating along edge of substrate.
    Type: Grant
    Filed: January 29, 2018
    Date of Patent: December 5, 2023
    Assignee: KAWASAKI JUKOGYO KABUSHIKI KAISHA
    Inventors: Tetsuya Yoshida, Ryosuke Kanamaru, Shinya Kinoshita, Takayuki Fukushima
  • Patent number: 11828792
    Abstract: A test apparatus for devices having fine pitches, includes a loading picker provided on one side of a loading part so as to sequentially adsorb devices to be tested, thereby putting the adsorbed devices on the upper surface of a vacuum chuck, a device alignment part, which is provided at an upper portion of a loading zone for aligning the devices, tester for testing a performance of the devices for a set time as the vacuum chuck positioned in the test position moves and comes into electrical contact with bumps of respective devices, and an unloading picker, which is provided at one side of an unloading zone so as to adsorb tested devices from the vacuum chuck, sorts the tested devices into good products and bad products, and unloads the tested devices as sorted on a tray of an unloading part.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: November 28, 2023
    Assignee: AMT CO., LTD.
    Inventors: Du Chul Kim, Wan Gu Lee
  • Patent number: 11798789
    Abstract: A first edge ring for a substrate support is provided. The first edge ring includes an annular-shaped body and one or more lift pin receiving elements. The annular-shaped body is sized and shaped to surround an upper portion of the substrate support. The annular-shaped body defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The one or more lift pin receiving elements are disposed along the lower surface of the annular-shaped body and sized and shaped to receive and provide kinematic coupling with top ends respectively of three or more lift pins.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: October 24, 2023
    Assignee: Lam Research Corporation
    Inventors: Alejandro Sanchez, Grayson Ford, Darrell Ehrlich, Aravind Alwan, Kevin Leung, Anthony Contreras, Zhumin Han, Raphael Casaes, Joanna Wu
  • Patent number: 11762012
    Abstract: A wafer inspection system is provided. The wafer inspection system includes: a transfer region in which a transfer device is arranged; an inspection region in which test heads for inspecting a substrate are arranged; and a maintenance region in which the test heads are maintained. The inspection region is located between the transfer region and the maintenance region, a plurality of inspection rooms accommodating the test heads are adjacent to each other in the inspection region, and the test heads are configured to be unloaded from the inspection region to the maintenance region.
    Type: Grant
    Filed: December 28, 2022
    Date of Patent: September 19, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Junichi Hagihara, Shigekazu Komatsu, Kunihiro Furuya, Tadayoshi Hosaka, Naoki Muramatsu
  • Patent number: 11748541
    Abstract: Systems and methods for engineering integrated circuit design and development are described. A requester posts a request for an integrated circuit chip design using the systems and methods. Moreover, using design tools of the systems and methods, one or more designers generate one or more designs. The designers use computer software that is provided by the systems and methods to test the one or more designs. Moreover, the designs are independently verified by a design engineering entity or by other designers. The one or more designs are provided to a fab via the systems and methods to fabricate a prototype of an integrated circuit chip. The prototype is tested on a printed circuit board by using a test software, which is provided by the systems and methods.
    Type: Grant
    Filed: October 25, 2021
    Date of Patent: September 5, 2023
    Assignee: efabless corporation
    Inventors: Bertrand Irissou, John M. Hughes, Lucio Lanza, Mohamed K. Kassem, Michael S. Wishart, Rajeev Srivastava, Risto Bell, Robert Timothy Edwards, Sherif Eid, Greg P. Shaurette
  • Patent number: 11721570
    Abstract: The present invention provides a wafer notch leveling device, which comprises a body, a first rotating portion, a positioning portion, a power portion, and a control unit. The body has a support portion and a pivot portion is provided at each terminal of the body, the pivot portion pivotally connects a plurality of supporting arms. The first rotating portion and the positioning portion are electrically connected with the power portion. The power portion is electrically connected with the control unit. Especially, when a plurality of wafers are placed on the support portion and fixed, the first rotating portion is electrically connected with the power portion through the control unit to drive the plurality of wafers to rotate the wafers, a notch on the wafer is leveled through the positioning portion.
    Type: Grant
    Filed: July 23, 2020
    Date of Patent: August 8, 2023
    Assignee: Sanwa Engineering Corp.
    Inventors: Min-Chih Tseng, Ching-Yu Hsiao
  • Patent number: 11689737
    Abstract: Disclosed are a plane coding target and an image splicing system and method applying the same. The plane coding target comprises a plurality of coding units distributed in an array, the coding unit comprises one central coding point, a plurality of normal coding points and at least one positioning point, and a positioning point distribution style of the positioning point is used for determining coordinates of the central coding point and the normal coding points in a coding unit coordinate system; and coding numerical value sequences of the coding units are different from each other and unique. The plane coding target can realize large-area coding and positioning functions, and the image splicing system applying the plane coding target can solve the problems of splicing error and error accumulation caused by an identification error of a splicing location, thus realizing large-range, high-precision and short-time two-dimensional image splicing.
    Type: Grant
    Filed: December 13, 2022
    Date of Patent: June 27, 2023
    Assignee: GUANGDONG UNIVERSITY OF TECHNOLOGY
    Inventors: Jian Gao, Yuanyang Wei, Lanyu Zhang, Haixiang Deng, Yun Chen, Xin Chen
  • Patent number: 11658053
    Abstract: One illustrative device disclosed herein includes a FOUP (Front Opening Unified Pod) storage bin, a plurality of pins positioned on a first surface of the FOUP storage bin, wherein the plurality of pins are adapted to engage and register with the FOUP, and a conversion plate. In one illustrative embodiment, the conversion plate includes a plate with a front surface and a back surface, a reticle pod receiving structure on the front surface that at least partially bounds a reticle pod receiving area on the front surface, and a pin engagement structure on the back side that is adapted to engage the plurality of pins on the first surface of the FOUP storage bin.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: May 23, 2023
    Assignee: GLOBALFOUNDRIES U.S. Inc.
    Inventor: Michael Raga-Barone
  • Patent number: 11577913
    Abstract: Systems and methods are provided for an apparatus for moving objects along a predetermined path. A system includes plurality of conveying units, each conveying unit being connected to two other conveying units. A particular conveying unit includes a first link unit configured for connection to a first neighbor conveying unit. A second link unit is configured for connection to the first link unit, the second link unit further being configured for connection to a first link unit of a second neighbor conveying unit. A cup is detachably connected to the second link unit.
    Type: Grant
    Filed: March 9, 2021
    Date of Patent: February 14, 2023
    Assignee: Brown International Corporation, LLC
    Inventors: Mike Poorbaugh, Tony Tedesco, Terrence A. Pagano
  • Patent number: 11529653
    Abstract: Produce sorting systems and methods that utilize a conveyor system to move produce through stages such as singulation, camera inspection, weight, and sorting. The sorting systems and methods may utilize conveyor systems having carrier segments that provide for increased speed, efficiency, accuracy, reliability, durability, and lower maintenance.
    Type: Grant
    Filed: January 11, 2022
    Date of Patent: December 20, 2022
    Assignee: DURAND-WAYLAND, INC.
    Inventor: Ian Robert Madden
  • Patent number: 11508597
    Abstract: A substrate aligner providing minimal substrate transporter extend and retract motions to quickly align substrate without back side damage while increasing the throughput of substrate processing. In one embodiment, the aligner having an inverted chuck connected to a frame with a substrate transfer system capable of transferring substrate from chuck to transporter without rotationally repositioning substrate. The inverted chuck eliminates aligner obstruction of substrate fiducials and along with the transfer system, allows transporter to remain within the frame during alignment. In another embodiment, the aligner has a rotatable sensor head connected to a frame and a substrate support with transparent rest pads for supporting the substrate during alignment so transporter can remain within the frame during alignment. Substrate alignment is performed independent of fiducial placement on support pads.
    Type: Grant
    Filed: March 21, 2017
    Date of Patent: November 22, 2022
    Assignee: Brook Automation US, LLC
    Inventors: Jairo T. Moura, Martin Hosek, Todd Bottomley, Ulysses Gilchrist
  • Patent number: 11056380
    Abstract: An assembly used in a process chamber for depositing a film on a wafer and including a pedestal extending from a central axis. An actuator is configured for controlling movement of the pedestal. A central shaft extends between the actuator and pedestal, the central shaft configured to move the pedestal along the central axis. A lift pad is configured to rest upon the pedestal and having a pad top surface configured to support a wafer placed thereon. A pad shaft extends between the actuator and the lift pad and controls movement of the lift pad. The pad shaft is positioned within the central shaft and is configured to separate the lift pad from the pedestal top surface by a process rotation displacement when the pedestal is in an upwards position. The pad shaft is configured to rotate relative to the pedestal top surface between first and second angular orientations.
    Type: Grant
    Filed: June 16, 2020
    Date of Patent: July 6, 2021
    Assignee: Lam Research Corporation
    Inventors: Paul Konkola, Karl F. Leeser, Easwar Srinivasan
  • Patent number: 10711348
    Abstract: Apparatus for improving substrate temperature uniformity in a substrate processing chamber are provided herein. In some embodiments, a cover plate for a substrate processing chamber includes: an outer portion; and a raised inner portion having a thermally emissive layer, wherein a thermal emissivity of the thermally emissive layer varies across the thermally emissive layer.
    Type: Grant
    Filed: March 7, 2015
    Date of Patent: July 14, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Cheng-Hsiung Tsai, Youqun Dong, Manjunatha Koppa
  • Patent number: 10535513
    Abstract: Provided apparatus and methods for back side passivation of a substrate. The systems comprise an elongate support with an open top surface forming a support ring so that when a substrate is on the support ring, a cavity is formed within the elongate support. A plasma generator is coupled to the cavity to generate a plasma within the cavity to deposit a passivation film on the back side of the substrate.
    Type: Grant
    Filed: June 14, 2018
    Date of Patent: January 14, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Lara Hawrylchak, Jeffrey Tobin
  • Patent number: 10020187
    Abstract: Provided apparatus and methods for back side passivation of a substrate. The systems comprise an elongate support with an open top surface forming a support ring so that when a substrate is on the support ring, a cavity is formed within the elongate support. A plasma generator is coupled to the cavity to generate a plasma within the cavity to deposit a passivation film on the back side of the substrate.
    Type: Grant
    Filed: November 22, 2013
    Date of Patent: July 10, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Lara Hawrylchak, Jeff Tobin
  • Patent number: 9922819
    Abstract: A method and apparatus for processing a substrate are provided. The apparatus includes a pedestal and rotation member, both of which are moveably disposed within a processing chamber. The rotation member is adapted to rotate a substrate disposed in the chamber. The substrate may be supported by an edge ring during processing. The edge ring may selectively engage either the pedestal or the rotation member. In one embodiment, the edge ring engages the pedestal during a deposition process and the edge ring engages the rotation member during rotation of the substrate. The rotation of the substrate during processing may be discrete or continuous.
    Type: Grant
    Filed: February 16, 2017
    Date of Patent: March 20, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ganesh Balasubramanian, Juan Carlos Rocha-Alvarez, Ramprakash Sankarakrishnan, Robert Kim, Dale R. Du Bois, Kirby H. Floyd, Amit Kumar Bansal, Tuan Anh Nguyen
  • Patent number: 9613729
    Abstract: An enhanced mechanical design of multiple zone plates precision alignment apparatus for hard x-ray focusing in a twenty-nanometer scale is provided. The precision alignment apparatus includes a zone plate alignment base frame; a plurality of zone plates; and a plurality of zone plate holders, each said zone plate holder for mounting and aligning a respective zone plate for hard x-ray focusing. At least one respective positioning stage drives and positions each respective zone plate holder. Each respective positioning stage is mounted on the zone plate alignment base frame. A respective linkage component connects each respective positioning stage and the respective zone plate holder. The zone plate alignment base frame, each zone plate holder and each linkage component is formed of a selected material for providing thermal expansion stability and positioning stability for the precision alignment apparatus.
    Type: Grant
    Filed: May 20, 2014
    Date of Patent: April 4, 2017
    Assignee: UChicago Argonne LLC
    Inventors: Deming Shu, Jie Liu, Sophie C. Gleber, Joan Vila-Comamala, Barry Lai, Jorg M. Maser, Christian Roehrig, Michael J. Wojcik, Franz Stefan Vogt
  • Patent number: 9593419
    Abstract: A method and apparatus for processing a substrate are provided. The apparatus includes a pedestal and rotation member, both of which are moveably disposed within a processing chamber. The rotation member is adapted to rotate a substrate disposed in the chamber. The substrate may be supported by an edge ring during processing. The edge ring may selectively engage either the pedestal or the rotation member. In one embodiment, the edge ring engages the pedestal during a deposition process and the edge ring engages the rotation member during rotation of the substrate. The rotation of the substrate during processing may be discrete or continuous.
    Type: Grant
    Filed: February 26, 2015
    Date of Patent: March 14, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ganesh Balasubramanian, Juan Carlos Rocha-Alvarez, Ramprakash Sankarakrishnan, Robert Kim, Dale R. Du Bois, Kirby Hane Floyd, Amit Kumar Bansal, Tuan Anh Nguyen
  • Patent number: 9275849
    Abstract: A single-chamber type cleaning-drying apparatus for flat objects, such as semiconductor wafers, wherein cleaning is carried out by impinging both sides of the wafer, which rotates at a relatively low speed, with jets of a washing liquid and wherein subsequent drying is carried out in the same chamber by increasing the rotation speed of the wafer and supplying isopropyl-alcohol (IPA) mist onto the wafer from the top of the chamber. After the IPA forms a solution with the residue of water on the wafer, the drying process is accelerated by supplying gaseous nitrogen through nozzles arranged on both sides of the wafer he coaxially with the wafer center. As a result, the IPA-water solution quickly evaporates without leaving traces of water drops on the dried surface.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: March 1, 2016
    Assignee: Planar Semiconductor, Inc.
    Inventors: Rubinder Randhawa, Basha Sajjad, Shmuel Erez, Harry Christov
  • Patent number: 9263312
    Abstract: A joining device includes a first holding unit configured to load and hold the first member on its top surface; a second holding unit disposed above the first holding unit while facing the first holding unit and configured to hold the second member; and a position adjustment mechanism configured to adjust a joining position between the first member held by the first holding unit and the second member held by the second holding unit. The second holding unit is of a circular plate shape, and the position adjustment mechanism includes four position-adjusting cam members disposed at equal intervals along an outer peripheral surface of the second holding unit, and moves the second holding unit in a horizontal direction.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: February 16, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Michikazu Nakamura, Masahiko Sugiyama, Hajime Furuya, Naoki Akiyama, Yosuke Omori
  • Patent number: 9202725
    Abstract: A substrate holding and rotary driving mechanism, e.g., for a cleaning chamber with vertical orientation of the wafer, that is comprised of a three-armed spider which is rotatingly installed on the outer side of the cleaning chamber and rotatingly supports on the outer ends of its arms outer shafts with eccentrically arranged inner shafts. The inner shafts pass through the outer shafts into the cleaning chamber where they support contact rollers, while the opposite ends of the inner shafts support gears driven into rotation by a synchronous belt. The contact rollers can be moved apart for insertion or removal of wafers from and into the chamber. This is achieved by turning the spider with eccentric inner shafts in one or another direction.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: December 1, 2015
    Assignee: Planar Semiconductor, Inc.
    Inventors: Rubinder S. Randhawa, Shmuel Erez, Harry Christov, Basha Sajjad
  • Publication number: 20150094849
    Abstract: According to various aspects, exemplary embodiments are disclosed of automatic container orientation systems. Also disclosed are methods for automatically orienting containers. In an exemplary embodiment, a system for automatically orienting containers generally includes one or more cameras, a controller, and an orientation unit. The one or more cameras are configured to obtain data relating to an orientation of at least one container to be included in a package. The controller is in communication with the one or more cameras for receiving the data and is configured to use the data to determine an amount of rotation needed for the at least one container to orient the at least one container in a desired final orientation. The orientation unit is configured to rotate the at least one container by the determined amount of rotation to thereby orient the at least one container in the desired final orientation.
    Type: Application
    Filed: December 1, 2014
    Publication date: April 2, 2015
    Inventors: Brian R. Stork, Todd Rio
  • Patent number: 8870562
    Abstract: A motif-arraying apparatus for arraying motifs such as a hot fix or the like in mounting grooves formed in a mold plate. The motif-arraying apparatus includes a shaking member for loading motifs in the mounting grooves formed in the mold plate, wherein the shaking member includes a vertical board and a horizontal board coupled to an edge thereof, and a plurality of shaking knives coupled to the bottom surface of the vertical board at a predetermined interval. The apparatus not only enables motifs to be correctly arrayed in the mounting grooves formed in the mold plate in a quick and easy manner, but also enables a motif inserted in a wrong direction to be removed from the mounting groove and correctly arrayed, thereby improving productivity, minimizing defects, and reducing costs.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: October 28, 2014
    Inventor: Duk Haui Hong
  • Publication number: 20140271085
    Abstract: A substrate position aligner includes a substrate holding assembly, a plurality of rollers, a rotation mechanism, and a sensor. The substrate holding assembly is configured to hold a substrate in a vertical orientation. The plurality of rollers include at least two idler rollers and a drive roller. Each roller has a point on its perimeter spaced on a common radius from a center of substrate rotation defined within the substrate holding assembly. The sensor is positioned approximately on the common radius and configured to detect the presence of an orientation cut in the substrate when the orientation cut is not orientated within a range between about ?44 degrees and about +44 degrees from horizontal. A method of aligning a substrate having an orientation cut includes sensing a presence of the orientation cut when the orientation cut is not orientated within the above recited range.
    Type: Application
    Filed: March 3, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventor: Manoj A. GAJENDRA
  • Publication number: 20140178170
    Abstract: A device for rotating flat products, in particular sheets or stacks of sheets, moved in a first direction from a first orientation into a second orientation, by a predetermined total rotation angle, by means of a first rotating device, in which the product is rotated first by a first rotation angle, and by means of at least one second rotating device, in which the product is later rotated by a second rotation angle. The first and second rotating devices are arranged one after the other in transport direction, and each rotating device includes rotating elements, which engage with the product for the rotating process. In order to be able to process products having different formats at the highest possible throughput and as failure-free as possible, and in order to ensure as mild a treatment of the products as possible, at least the first rotating device includes switchable engagement elements by means of which the rotating elements can be engaged with or disengaged from the product at selected times.
    Type: Application
    Filed: December 19, 2013
    Publication date: June 26, 2014
    Applicant: BOEWE SYSTEC GmbH
    Inventor: Bernd HOEPNER
  • Patent number: 8756798
    Abstract: The invention relates to a device for fitting and equipping motor vehicle battery housings as a compact system, which comprises individual production stations and associated transport devices, wherein the battery plate packs to be processed are arranged in clamping cassettes and are provided to the device in the necessary pack width for the intended battery cells by a feeding station arranged upstream.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: June 24, 2014
    Assignees: Sasit Industrietechnik GmbH, VB Autobatterie GmbH & Co. KGAA
    Inventors: Jochen Meier, Thomas Dörffel, Roger Loer
  • Patent number: 8641351
    Abstract: A robot control unit that turns off a holding unit for a plate member, while the plate member is placed on an end effector, and lower the end effector, by a predetermined distance, from an initial position higher than a preset temporary placing position toward the temporary placing position. Then the robot control unit switches the holding member, from an OFF state to an ON state, while the end effector is stopped, and determines whether the plate member is held by the holding unit, with a holding-state detection unit. When the plate member is held by the holding unit, the robot control unit turns off the holding unit while further lowering the end effector by the predetermined distance. When the plate member is not held by the holding unit, the robot control unit detects a position of the end effector at this point of time, as a normal placing position.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: February 4, 2014
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventor: Nobuyasu Shimomura
  • Patent number: 8550441
    Abstract: A supporting member, carrier and method of supporting are provided with a supporting member main body mounted to freely rotate. The supporting member main body is provided with a plurality of projections extending radially from a central rotation axis. Since the substrate is supported by abutment of the projections with an end of the substrate, the occurrence of cracking in the substrate can be prevented or the durability of the supporting member main body can be increased.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: October 8, 2013
    Assignee: ULVAC, Inc.
    Inventors: Koji Ishino, Hajime Nakamura, Mayako Matsuda, Takaaki Shindou, Yukio Kikuchi
  • Patent number: 8490660
    Abstract: An apparatus and method for supporting, positioning and rotating a substrate are provided. In one embodiment, a support assembly for supporting a substrate includes an upper base plate and a lower base plate. The substrate is floated on a thin layer of air over the upper base plate. A positioning assembly includes a plurality of air bearing edge rollers or air flow pockets used to position the substrate in a desired orientation inside above the upper base plate. A plurality of slanted apertures or air flow pockets are configured in the upper base plate for flowing gas therethrough to rotate the substrate to ensure uniform heating during processing.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: July 23, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Blake Koelmel, Alexander N. Lerner, Joseph M. Ranish, Kedarnath Sangam, Khurshed Sorabji
  • Patent number: 8434989
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: May 7, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Publication number: 20120305028
    Abstract: Provided is a buffer unit, which includes a frame including a base plate, a first vertical plate, and a second vertical plate, wherein the first and second vertical plates are spaced apart from each other on the base plate, a first buffer on which a photomask is placed, the first buffer being allowed to be reversed between the first and second vertical plates; and a plurality of driving parts disposed at outsides of the first and second vertical plates, and driving the first buffer to grip and reverse the photomask placed on the first buffer.
    Type: Application
    Filed: May 30, 2012
    Publication date: December 6, 2012
    Applicant: SEMES CO., LTD.
    Inventors: Kihoon Choi, Byung Man Kang, Byung Chul Kang, Donghyuk Jang
  • Patent number: 8112177
    Abstract: In the wafer position teaching method for a wafer carrying system, a teaching tool is mounted at a position of the container or the processing equipment where the semiconductor wafer is to be set. The teaching tool is sensed by a sensor provided at a wafer gripping portion of the robot. Prior to sensing the teaching tool by the sensor, external teaching tools mounted on a front external wall of the processing equipment are sensed by the sensor to roughly estimate the position of the teaching tool. Based on the estimated position, the sensor approaches and senses the teaching tool to obtain the position of the semiconductor wafer. Thus, the wafer position can be taught precisely and automatically without causing interference, even when the frontage of processing equipment is narrow.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: February 7, 2012
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Masaru Adachi, Mitsunori Kawabe
  • Patent number: 8087419
    Abstract: A substrate holding rotating mechanism is used to hold and rotate a substrate to be processed. The substrate holding rotating mechanism according to the present invention includes at least three spindles, clamp rollers mounted respectively on the spindles for holding a periphery of a substrate, a rotating device for rotating at least one of the clamp rollers, at least one base member on which at least one of the spindles is installed, and a rotational mechanism adapted to allow the base member to be rotatable.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: January 3, 2012
    Assignee: Ebara Corporation
    Inventors: Hiroyuki Kaneko, Takahiro Ogawa, Kenichi Sugita
  • Patent number: 8066102
    Abstract: A lifting apparatus includes a vertical guide device, a turntable device which is movable along the vertical guide device upwards or downwards, and a first driving device which drives the turntable device moving in an upward and downward direction guided by the vertical guide device. The turntable device includes a bracket which is slidably engaged with the vertical guide device and projects perpendicularly toward a side of the vertical guide device, a turntable which is rotatably provided on the bracket, and a second driving device which rotates the turntable on the bracket.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: November 29, 2011
    Assignees: Nuctech Company Limited, Tsinghua University
    Inventors: Xilei Luo, Kejun Kang, Yinong Liu, Yuanjing Li, Zhiqiang Chen, Wanlong Wu, Yulan Li, Li Zhang, Ziran Zhao, Bin Sang, Hailin Wang
  • Publication number: 20110250044
    Abstract: A device for supporting and rotating a disc-like article includes: a first rotor including a support for supporting the disc-like article, wherein the first rotor is located within a process chamber, a second rotor connected to a drive mechanism for rotating the second rotor, wherein the second rotor is coupled to the first rotor by magnetic forces without touching the first rotor, and the second rotor is located outside the process chamber and a wall is arranged between the first rotor and the second rotor, and at least one magnetic couple, wherein the couple includes a first coupling part and a second coupling part, wherein the first coupling part includes a coupling magnet mounted to the first rotor and the second coupling part includes a high temperature superconducting material, wherein the magnetic couple(s) are arranged and/or formed so that no degree of freedom remains between the first and second rotor so that the first rotor moves together with the second rotor.
    Type: Application
    Filed: December 11, 2009
    Publication date: October 13, 2011
    Applicant: LAM RESEARCH AG
    Inventors: Rainer Obweger, Frank Werfel
  • Publication number: 20110168214
    Abstract: A substrate holding rotating mechanism is used to hold and rotate a substrate to be processed. The substrate holding rotating mechanism according to the present invention includes at least three spindles, clamp rollers mounted respectively on the spindles for holding a periphery of a substrate, a rotating device for rotating at least one of the clamp rollers, at least one base member on which at least one of the spindles is installed, and a rotational mechanism adapted to allow the base member to be rotatable.
    Type: Application
    Filed: March 28, 2011
    Publication date: July 14, 2011
    Inventors: Hiroyuki KANEKO, Takahiro Ogawa, Kenichi Sugita
  • Patent number: 7918640
    Abstract: A technology to resolve positional deviations without using a transport robot. An object to be transported placed on a holding stand is rotated, so as to make an error angle ? to be zero; and thereafter, a temporarily placing portion is made to move obliquely to move for an error distance in a horizontal component, thereby locating the center of the object to be transported on the central axis line of the holding stand; and the object to be transported is placed on holding stand. In a case where an orientation of a notch is definite, the holding stand is further rotated by a desired amount. Without using the transport robot, it is possible to resolve an error angle and an error distance.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: April 5, 2011
    Assignee: Ulvac, Inc.
    Inventor: Yoshinori Fujii
  • Patent number: 7820554
    Abstract: A process for producing a silicon wafer by conveying a (100) face silicon wafer into and from a treating furnace of a single wafer heat-treating apparatus or a vapor phase growth apparatus with a conveying blade having a mounting face capable of mounting only a specified region of the wafer inclusive of a center position of its rear face for subjecting the wafer to a heat treatment or a vapor phase growth, in which <010> or <001> orientation is shifted by a predetermined angle with respect to a transverse direction of the mounting face of the conveying blade.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: October 26, 2010
    Assignee: Sumco Corporation
    Inventors: Kazutoshi Inoue, Naoyuki Wada
  • Patent number: 7730608
    Abstract: A hinge feeder for feeding open hinges at a predetermined orientation to a receiver ready for use by an automatic door hinger. A dispenser is provided to hold a stack of closed hinges. The orientation of the top end and hinge joint of each hinge is sensed and the hinges sequentially dispensed at a uniform orientation, except for the pinhead location, to a rotator that rotates those hinges to bring their top ends to a desired side. The hinges are then opened by a leaf turner, fed to a stacker and the opened and oriented hinges then deposited in a stack by a stacker.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: June 8, 2010
    Assignee: Kval, Inc.
    Inventor: Andrew M. Kvalheim
  • Patent number: 7654380
    Abstract: A handling system able to efficiently process information relating to a plurality of conveyed articles, the handling system provided with a conveyer for conveying workpieces, a visual sensor for detecting positions of workpieces by acquiring images of a plurality of tracking ranges obtained by dividing a belt into sections, an encoder for detecting an amount of movement of the belt, a tracking manager for monitoring amounts of movement of the plurality of tracking ranges based on the amount of movement detected by the encoder and specifying the tracking range passing through a workpiece detection area, a workpiece manager for selecting the article in the tracking range specified by the tracking manager, and a controller for controlling operations of robots so as to hold the workpiece selected by the workpiece manager.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: February 2, 2010
    Assignee: Toshiba Kikai Kabushiki Kaisha
    Inventors: Yasunori Nishihara, Toshihiko Nakane
  • Publication number: 20100003118
    Abstract: A supply of plastic wrapping material for wrapping cylindrical modules includes a plurality of end-to-end segments, with each segment being of a length sufficient for enveloping a cylindrical cotton module of a given size with a predetermined number of layers of wrapping. All except an inner tail of an inner layer of wrapping adheres to the following layer. The location within each segment which becomes a loose inner tail when wrapped about a module is provided with a first RFID tag while other RFID tags are provided at equally spaced locations along the inner layer. Each RFID tag is provided with a unique identifier for which may be read by a RFID tag reader carried by a module handling implement having forks rotatable by reversible motors operable for rotating the module for placing the loose inner tail at a desired location relative to a cutting device for slitting the wrapping during wrapping removal.
    Type: Application
    Filed: July 1, 2008
    Publication date: January 7, 2010
    Inventors: James Thomas Noonan, Jerry Bob Hall, Mark Alan Cracraft
  • Patent number: 7551979
    Abstract: A robot calibration system and method for robots in semiconductor wafer processing systems is disclosed. The calibration system comprises a calibration array, a dummy wafer and a control system programmed with a calibration routine. The calibration array has an plurality of inductive proximity sensors to determine parallelism of the robot relative to a station and a center locating sensor to determine the center of the station.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: June 23, 2009
    Assignee: Strasbaugh
    Inventor: Daniel P. Saraliev
  • Patent number: 7547181
    Abstract: A substrate W rotates about the center of rotations A0 of a spin base 3, while supported by plural support pins 5 in such a manner that the substrate W can freely slide and while held owing to the force of friction which develops between the bottom surface of the substrate W and the support pins 5. After a detection sensor 74 detects, while the substrate W rotates, an edge surface position (eccentric position) of the edge surface of the substrate which is the farthest from the center of rotations A0, a press block 71 pushes this edge surface position to a preset position P1 which is away along the horizontal direction from the center of rotations A0 by a distance which is determined in accordance with the radius of the substrate W. This aligns the eccentric position to the preset position P1 and positions the center W0 of the substrate within a predetermined range from the center of rotations A0.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: June 16, 2009
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Eiji Fukatsu, Hiroyuki Yashiki, Hideki Adachi, Katsuhiko Miya
  • Publication number: 20090148259
    Abstract: An automated parking garage includes a three-dimensional warehousing facility for receiving a vehicle at a delivery bay, storing the vehicle in a parking area, and retrieving the vehicle from the parking area. The parking garage includes mechanical elements for transporting the vehicle between the delivery bay and the parking space, namely, at least one shuttle vehicle and at least one elevator. A central computer is in electrical communication with each of the mechanical elements to control the movement of the corresponding elements in the facility. A main catwalk extends the length of the facility to define parking spaces in the parking area to receive at least two vehicles, each vehicle having a front end and a rear end, with the desired end of each vehicle adjacent the main catwalk.
    Type: Application
    Filed: October 8, 2008
    Publication date: June 11, 2009
    Inventor: Haim Shani
  • Publication number: 20090116949
    Abstract: A wafer bonding apparatus and method are provided. The wafer bonding apparatus can include an aligning unit, and the aligning unit can include a rotating roller for rotating at least two wafers, an aligning bar for aligning the at least two wafers, and a notch alignment sensor for sensing at least two notches of each of the at least two wafers.
    Type: Application
    Filed: October 13, 2008
    Publication date: May 7, 2009
    Inventor: Chang Hun Han
  • Publication number: 20090110532
    Abstract: An apparatus for centering a substrate in a track lithography tool includes a processing chamber having an opening large enough to admit the substrate. The processing chamber includes a substrate support member. The substrate is characterized by a diameter and comprises a mounting surface, a process surface, and an edge. The apparatus also includes a clamped robot blade including a substrate support surface adapted to support the mounting surface of the substrate, two edge contact regions, and a base contact region. The clamped robot blade also includes a clamping system adapted to move at least one of the two edge contact regions or the base contact region from an unclamped position to a clamped position, thereby making contact between the edge of the substrate and the two edge contact regions and the base contact region in the clamped position. The apparatus further includes a robot arm coupled to the clamped robot blade.
    Type: Application
    Filed: October 29, 2007
    Publication date: April 30, 2009
    Applicant: SOKUDO CO., LTD.
    Inventor: Mohsen S. Salek
  • Publication number: 20090067976
    Abstract: Alignment assembly is used to center a sample on a moving stage system. The alignment assembly includes a pair of slides stacked on a stage with linear perpendicular movement relative to each other, and at least one actuator that is preferably physically separate from the linear slides and stage. The actuator(s) repeatedly extend an actuator arm to move the linear slides, and retract the arm for subsequent movement of the stage during and after the process of centering the sample in two dimensions on the stage. Either the stage system rotates, or multiple actuators are placed to move the alignment system in perpendicular directions relative to the stage, by repeatedly contacting only the top linear slide.
    Type: Application
    Filed: September 12, 2007
    Publication date: March 12, 2009
    Applicant: XRADIA, INC.
    Inventor: Ying Xu
  • Publication number: 20080304950
    Abstract: The disclosure relates to an apparatus (10) comprising a turning mechanism which is adapted to change the orientation of a number of packaging containers (12) in motion in a filling machine, from a first orientation (20) to a second orientation (22), comprising a conveyor (26) provided with at least one carrier (28) to which at least one carrier unit is connected. According to the invention, the carrier unit is rotary in relation to the carrier (28) about a geometric axis of rotation and is adapted to carry the packaging container (12) in such a manner that the point of gravity of the packaging container during the change in orientation is substantially located on the geometric axis of rotation, and the point of gravity of the packaging container thereby maintains substantially the same direction and speed from the first to the second orientation (20, 22).
    Type: Application
    Filed: November 24, 2004
    Publication date: December 11, 2008
    Applicant: Tetra Laval Holdings & Finance S.A.
    Inventor: Richard Persson