Metal And Chalcogen Containing Coating (e.g., Metal Oxide, Metal Sulfide, Metal Telluride, Etc.) Patents (Class 427/255.31)
  • Patent number: 8343572
    Abstract: The present invention is in relation to a composition of electrode material in the form of a coating, said composition represented by formula Mn1-xO/C, wherein Mn1-xO is the monoxide of manganese with x is ?0 and ?0.1 and C is carbon. In addition, the invention also provides a process for deposition of aforementioned composition in the form of a nanocomposite coat on the electrode of an electrochemical capacitor in the fields of automobile, aerospace engineering and applications, very large scale integrated circuits (VLSI) technology, micro-electro-mechanical systems (MEMS) and combinations thereof.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: January 1, 2013
    Inventors: Ashish Varade, Ajjampur Srinivasarao Shivashankar, Sukanya Dhar, Srinivasan Sampath
  • Patent number: 8343582
    Abstract: A method for depositing a non-oxide ceramic-type coating based on chrome carbides, nitrides or carbonitrides, by DLI-CVD at low temperature and atmospheric pressure on a metallic substrate, includes: a) a solution is prepared, containing a molecular compound which is a precursor of the metal to be deposited, belongs to the bis(arene) family, and has a decomposition temperature of 300° C.-550° C., the compound being dissolved in an oxygen atom depleted solvent; b) the solution is introduced as aerosol into a heated evaporator at a temperature between the solvent boiling temperature and the precursor decomposition temperature; and c) the precursor and the vaporized solvent are driven from the evaporator towards a CVD reactor having cold walls, with a susceptor carrying the substrate to be covered and heated to a temperature higher than the decomposition temperature of the precursor, to a maximum of 550° C., the evaporator and the CVD reactor being at atmospheric pressure.
    Type: Grant
    Filed: July 19, 2007
    Date of Patent: January 1, 2013
    Assignee: Institut National Polytechnique de Toulouse (I.N.P.T.)
    Inventors: Francis Maury, Aurélia Douard
  • Patent number: 8337945
    Abstract: A method for producing an element including a substrate having a plurality of nanocylinders deposited thereon includes providing the substrate. The substrate is covered with a nanoporous Al2O3 membrane so as to provide a covered substrate. The covered substrate is alternately vapor-deposited, at a vapor-deposition temperatures from 250° C. to 400° C., with atoms of a magnetic element and atoms of a non-magnetic element so as to provide the plurality of nanocylinders. Each nanocylinder includes at least four superposed layers including, alternatively, the atoms of the magnetic element and the atoms of the non-magnetic element. The nanoporous Al2O3 membrane is then removed so that the nanocylinders remain on the substrate.
    Type: Grant
    Filed: April 28, 2007
    Date of Patent: December 25, 2012
    Assignee: Forschungszentrum Karlsruhe GmbH
    Inventors: Jens Ellrich, Lei Yong, Horst Hahn
  • Patent number: 8337952
    Abstract: An object of the present invention is to provide a single-phase film of a metal sulfide with good quality, and a method for preparing a metal sulfide film at a low cost in a convenient manner. The present invention provides a preparation method of a metal sulfide film, comprising the steps of providing metal halide, such as iron halide (FeCl3, FeI3, FeBr3, FeCl2, FeI2 and FeBr2), as a first raw material and a thioamide compound, such as thioacetamide, as a second raw material, preferably vaporizing these raw materials and reacting them at atmospheric pressure; and a metal sulfide film prepared by this method.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: December 25, 2012
    Assignee: Suzuki Motor Corporation
    Inventors: Tadashi Takahashi, Naoyuki Takahashi, Takato Nakamura
  • Patent number: 8334016
    Abstract: Metal silicates or phosphates are deposited on a heated substrate by the reaction of vapors of alkoxysilanols or alkylphosphates along with reactive metal amides, alkyls or alkoxides. For example, vapors of tris(tert-butoxy)silanol react with vapors of tetrakis(ethylmethylamido)hafnium to deposit hafnium silicate on surfaces heated to 300° C. The product film has a very uniform stoichiometry throughout the reactor. Similarly, vapors of diisopropylphosphate react with vapors of lithium bis(ethyldimethylsilyl)amide to deposit lithium phosphate films on substrates heated to 250° C. Supplying the vapors in alternating pulses produces these same compositions with a very uniform distribution of thickness and excellent step coverage.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: December 18, 2012
    Assignee: President and Fellows of Harvard College
    Inventors: Roy G. Gordon, Jill S. Becker, Dennis Hausmann, Seigi Suh
  • Patent number: 8329253
    Abstract: A method for forming a transparent conductive film by atomic layer deposition includes providing more than one kind of oxide precursor which is individually introduced into atomic layer deposition equipment through different sources, wherein the oxide precursors are consecutively introduced into the atomic layer deposition equipment at the same time, so that the oxide precursors are simultaneously present in the atomic layer deposition equipment, to form a uniform mixture of oxide precursors in a single adsorbate layer for settling onto a substrate in the atomic layer deposition equipment. Then, an oxidant is provided to react with the oxide precursors to form a single multi-oxide atomic layer. The above mentioned steps are repeated to form a plurality of multi-oxide atomic layers.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: December 11, 2012
    Assignee: National Taiwan University
    Inventors: Feng-Yu Tsai, Chun-Ting Chou
  • Patent number: 8323737
    Abstract: The present invention provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. A first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: December 4, 2012
    Assignee: ASM International N.V.
    Inventor: Arthur Sherman
  • Patent number: 8313807
    Abstract: The present invention is directed to high coordination sphere Group 2 metal ?-diketiminate compositions, such as bis(N-(2,2-methoxyethyl)-4-(2,2-methoxyethylimino)-2-penten-2-aminato) barium; and the deposition of the metals of such metal ligand compositions by chemical vapor deposition, pulsed chemical vapor deposition, molecular layer deposition or atomic layer deposition to produce Group 2 metal containing films, such as barium strontium titanate films or strontium titanate films or barium doped lanthanate as high k materials for electronic device manufacturing.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: November 20, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Anthony Thomas Norman, Xinjian Lei
  • Patent number: 8277891
    Abstract: A technique for effectively suppressing the generation of particles resulting from peeling-off of unnecessary films that have unavoidably adhered to the inner surface of the reaction tube of an ALD film-forming apparatus during a film formation process for forming a film on a semiconductor substrate. A precoating process utilizing ALD is performed to deposit a metal oxide film, e.g., an aluminum oxide film, onto the unnecessary films, in order to prevent peeling-off of the unnecessary films. Ozone is supplied, as a precoat gas, into the reaction tube during the precoating process by a nozzle of a different type and/or position from that of the nozzle for supplying ozone, as a film-forming gas, into the reaction tube during the film formation process.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: October 2, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Yuichiro Morozumi, Kenichi Koyanagi, Takashi Arao, Kazunori Une
  • Patent number: 8273408
    Abstract: Cyclical methods of depositing a ruthenium layer on a substrate are provided. In one process, initial or incubation cycles include supplying alternately and/or simultaneously a ruthenium precursor and an oxygen-source gas to deposit ruthenium oxide on the substrate. The ruthenium oxide deposited on the substrate is reduced to ruthenium, thereby forming a ruthenium layer. The oxygen-source gas may be oxygen gas (O2). The ruthenium oxide may be reduced by supplying a reducing agent, such as ammonia (NH3) gas. The methods provide a ruthenium layer having good adherence to an underlying high dielectric layer while providing good step coverage over structures on the substrate. After nucleation, subsequent deposition cycles can be altered to optimize speed and/or conformality rather than adherence.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: September 25, 2012
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Jong Su Kim, Hyung Sang Park
  • Patent number: 8252435
    Abstract: [PROBLEMS] To provide a cutting tool consisting of a hard material improved in the adherence between a substratum of cemented carbide having hard phases bound by a binder metal and a TiN layer superimposed on a surface of the substratum, and provide a process for producing the same. [MEANS FOR SOLVING PROBLEMS] There is provided a cutting tool consisting of a hard material, characterized in that the hard material has a substratum containing hard phases and a binder metal and a TiN layer superimposed on a surface of the substratum, and that the substratum has ?-phases consisting of at least one solid solution of carbide, nitride or carbonitride containing W and at least one member selected from among Ti, Ta, Nb and Zr, and that at least some of the ?-phases lie at a surface of the substratum, and that the TiN layer has crystals with the same orientation relationship as that of ?-phase crystals just above the ?-phases of the substratum surface.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: August 28, 2012
    Assignee: Kyocera Corporation
    Inventor: Takahito Tanibuchi
  • Patent number: 8247036
    Abstract: A method for making a coaxial cable, the method comprises the steps of: providing a carbon nanotube structure; and forming at least one conductive coating on a plurality of carbon nanotubes of the carbon nanotube structure; a carbon nanotube wire-like structure from the carbon nanotubes with at least one conductive coating; at least one layer of insulating material on the carbon nanotube wire-like structure; at least one layer of shielding material on the at least one layer of insulating material; and one layer of sheathing material on the at least one layer of shielding material.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: August 21, 2012
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Kai-Li Jiang, Liang Liu, Kai Liu, Qing-Yu Zhao, Yong-Chao Zhai, Shou-Shan Fan
  • Patent number: 8244482
    Abstract: A process system adapted for processing of or with a material therein. The process system includes: a sampling region for the material; an infrared photometric monitor constructed and arranged to transmit infrared radiation through the sampling region and to responsively generate an output signal correlative of the material in the sampling region, based on its interaction with the infrared radiation; and process control means arranged to receive the output of the infrared photometric monitor and to responsively control one or more process conditions in and/or affecting the process system.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventor: Jose I. Arno
  • Patent number: 8241704
    Abstract: A multi-step method for depositing ruthenium thin films having high conductivity and superior adherence to the substrate is described. The method includes the deposition of a ruthenium nucleation layer followed by the deposition of a highly conductive ruthenium upper layer. Both layers are deposited using chemical vapor deposition (CVD) employing low deposition rates.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: August 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Bryan C. Hendrix, James J. Welch, Steven M. Bilodeau, Jeffrey F. Roeder, Chongying Xu, Thomas H. Baum
  • Patent number: 8236097
    Abstract: This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <300° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least one disilane derivative compound that is fully substituted with alkylamino and/or dialkylamino functional groups.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: August 7, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Ziyun Wang, Chongying Xu, Thomas H. Baum, Bryan C. Hendrix, Jeffrey F. Roeder
  • Patent number: 8236381
    Abstract: Methods and compositions for depositing a film on one or more substrates include providing a reactor and at least one substrate disposed in the reactor. At least one lanthanide precursor is provided in vapor form and a lanthanide metal thin film layer is deposited onto the substrate.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: August 7, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventor: Shingo Okubo
  • Patent number: 8227031
    Abstract: In a method of producing a layered composite, wherein at least one layer including cubic boron nitride is deposited on a substrate and, during the deposition, 3 to 15 at % oxygen is added for assuming the nitrogen locations of the cubic boron-nitride grating or intermediate grating locations, thereby providing for cubic boron nitride layers with a thickness of at least 2 ?m without the formation of cracks.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: July 24, 2012
    Assignee: Karlsruher Institut Fuer Technologie
    Inventors: Sven Ulrich, Jian Ye, Konrad Sell, Michael Stüber
  • Patent number: 8227032
    Abstract: A method of forming a silicon oxide film, comprising the steps of: —providing a treatment substrate within a reaction chamber; —purging the gas within the reaction chamber by feeding an inert gas into the chamber under reduced pressure at a substrate temperature of 50 to 400 C, —adsorbing, at the same temperatures and under reduced pressure, a silicon compound on the treatment substrate by pulsewise introduction of a gaseous silicon compound into the reaction chamber, —purging, at the same temperatures and under reduced pressure, the unadsorbed silicon compound in the reaction chamber with an inert gas, —at the same temperatures and under reduced pressure, introducing a pulse of ozone-containing mixed gas into the reaction chamber and producing silicon oxide by an oxidation reaction with the silicon compound adsorbed on the treatment substrate; and—repeating steps 1) to 4) if necessary to obtain the desired thickness on the substrate.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: July 24, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Christian Dussarrat, Julien Gatineau, Kazutaka Yanagita, Eri Tsukada, Ikuo Suzuki
  • Patent number: 8216640
    Abstract: A method of making a showerhead for a semiconductor processing apparatus is disclosed. In one embodiment, the method includes providing a substrate; forming first holes in the substrate; forming a protective film on the substrate, where the protective film covers sidewalls of the first holes; and forming second holes in the substrate, where a part of the protective film within the first holes is removed. In another embodiment, the method includes providing a substrate; forming islands on the substrate; forming a protective film on the substrate, where the protective film does not cover the tops of the islands; and forming holes in the islands.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: July 10, 2012
    Assignee: Hermes-Epitek Corporation
    Inventors: Ben-Son Chao, Yu-Feng Chang, Yen-Si Chen
  • Patent number: 8216689
    Abstract: Components (1) have a thermal barrier coating (2-6) on the surface thereof, wherein the thermal barrier coating includes at least one layer (3) having chemically stabilized zirconia, and wherein at least indirectly adjacent to the layer (3) with chemically stabilized zirconia and on its surface facing side, there is provided a protective layer (4) and/or a infiltration zone (5) which does not react with environmental contaminant compositions that contain oxides of calcium and which does not react with the material of the layer (3) having chemically stabilized zirconia. Methods for making such components as well as to uses of specific systems for coating thermal barrier coatings, can prevent CMAS.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: July 10, 2012
    Assignees: ALSTOM Technology Ltd., ETH Eidgenoessische Technische Hochschule Zuerich
    Inventors: Gregoire Etienne Witz, Hans-Peter Bossmann, Valery Shklover, Sharath Bachegowda
  • Publication number: 20120171376
    Abstract: A method of depositing a conformal coating on a porous non-ceramic substrate requires reactive gases to flow through the substrate so as to leave a conformal coating behind. The process can be used to leave a hydrophilic surface on the interior pores of the substrate, even when the substrate is of a naturally hydrophobic, e.g., olefinic material. The method can be used in a roll-to-roll process, or in a batch process. In some convenient embodiments of the latter case, the batch reactor and the conformally coated substrate or substrates can together go on to be come part of the end product, e.g., a filter body and the filter elements respectively.
    Type: Application
    Filed: September 15, 2010
    Publication date: July 5, 2012
    Inventor: Bill H. Dodge
  • Patent number: 8211501
    Abstract: The formation of electronic assemblies including a heat spreader coupled to a die through a thermal interface material formed from an indium preform, is described. One embodiment relates to a method including providing a preform comprising indium, the preform including an indium oxide layer thereon. The method also includes exposing the preform to fluorine so that part of the indium oxide layer is transformed into an indium oxy-fluoride. The method may also include, after the exposing the preform to fluorine so that part of the indium oxide layer is transformed into an indium oxy-fluoride, positioning the preform between a die and a heat sink, and applying pressure to and heating the preform positioned between the die and the heat sink so that reflow occurs and a bond is formed between the die and the heat sink.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: July 3, 2012
    Assignee: Intel Corporation
    Inventor: Bogdan M. Simion
  • Patent number: 8197914
    Abstract: The present invention discloses plasma enhanced chemical vapor deposition (PECVD) process for depositing n-type and p-type zinc oxide-based transparent conducting oxides (TCOs) at low temperatures with excellent optical and electrical properties on glass and temperature sensitive materials such as plastics and polymers. Specifically, it discloses PECVD process for depositing n-type ZnO by doping it with B or F and p-type ZnO by doping it with nitrogen excellent optical and electrical properties on glass and temperature sensitive materials such as plastics and polymers for TCO application. The process utilizes a mixture of volatile zinc compound, argon and/or helium as a diluent gas, carbon dioxide as an oxidant, and a dopant or reactant to deposit the desired ZnO-based TCOs.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: June 12, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Diwakar Garg, Philip Bruce Henderson, Daniel Joseph Tempel, Thomas N. Jackson, Jie Sun
  • Patent number: 8197908
    Abstract: Processes for depositing conductive materials on substrates are disclosed which include the steps of combusting a premixed fuel and oxidant to form a stagnation flame against a moving surface which stabilizes the stagnation flame and introducing at least one precursor to the flame to form a conducting material onto the substrate.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: June 12, 2012
    Assignee: Hestia Tec, LLC
    Inventors: Hai Wang, Denis Phares
  • Patent number: 8197721
    Abstract: The invention provides improved conditions for atmospheric pressure chemical vapor deposition (APCVD) of vanadium (IV) oxide. Specifically, higher quality vanadium oxide (particularly in the form of films) can be obtained by employing concentrations of precursors in the APCVD reaction which are substantially less than those used previously. These conditions improve the reproducibility of the films obtained by APCVD and also prevent particulate formation in the manufacturing apparatus, which in previous work had caused blockages. The films obtained have improved visual appearance, especially color, and/or have improved adhesion to a substrate. The obtained films also show a greater difference in transmission above and below the switching temperature than previous films. The invention also provides doped vanadium oxide, particularly with tungsten. Substrates (e.g. glass substrates) coated with a film of vanadium oxide are also provided.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: June 12, 2012
    Assignee: UCL Business PLC
    Inventors: Christopher Stuart, Ivan Paul Parkin
  • Publication number: 20120141783
    Abstract: The invention relates to bodies made of metal, hard metal, cermet, ceramic or semiconductor material, which are coated with an Al2O3-layer or a multi-layered layer system containing at least one Al2O3-layer, and to a method for coating the type of bodies. The aim of the invention is to coat bodies made of metal, cermet, ceramic or semiconductor material with one or more Al2O3-layers, the layers being very hard >27 GPa and having an improved resistance to wear compared to traditional Al2O3-layers and are economical to produce. In the claimed coated bodies, the Al2O3-layer comprises totally or mainly a phase mixture of ? (theta)-aluminum oxide and ? (gamma)-aluminum oxide. In order to produce this type of coated body, the invention proposes a method in which the bodies are coated at temperatures of between 700° C. and 1050° C. and pressures >0.2 kPa by means of a thermal CVD-process without plasma stimulation, and one or more aluminum halogenides are used as oxygen precursor N2O and as Al-precursors.
    Type: Application
    Filed: August 10, 2010
    Publication date: June 7, 2012
    Applicant: FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Mandy Hoehn, Ingolf Endler
  • Patent number: 8168256
    Abstract: A process and composition for preventing cracking in composite structures comprising a metal coated substrate and a selenide, sulfide or mixed selenide sulfide film. Specifically, cracking is prevented in the coating of molybdenum coated substrates upon which a copper, indium-gallium diselenide (CIGS) film is deposited. Cracking is inhibited by adding a Se passivating amount of oxygen to the Mo and limiting the amount of Se deposited on the Mo coating.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: May 1, 2012
    Inventors: Erten Eser, Shannon Fields
  • Patent number: 8163342
    Abstract: A low-resistivity, doped zinc oxide coated glass article is formed by providing a hot glass substrate having a surface on which a coating is to be deposited, the surface being at a temperature of at least 400° C. A zinc containing compound, an oxygen-containing compound and an aluminum- or gallium-containing compound are directed to the surface on which the coating is to be deposited. The zinc containing compound, oxygen-containing compound, and aluminum- or gallium-containing compound are mixed together for a sufficient time that an aluminum or gallium doped zinc oxide coating is formed on the surface at a deposition rate of greater than 5 nm/second.
    Type: Grant
    Filed: May 3, 2007
    Date of Patent: April 24, 2012
    Assignees: Pilkington Group Limited, Arkema, Inc.
    Inventors: Jeffery L. Stricker, Ryan C. Smith, Michael B. Abrams, Roman Y. Korotkov, Gary S. Silverman, Kevin David Sanderson, Liang Ye, Guillermo Benito Gutiérrez
  • Publication number: 20120070582
    Abstract: Methods and compositions for the deposition of ternary oxide films containing ruthenium and an alkali earth metal.
    Type: Application
    Filed: December 1, 2011
    Publication date: March 22, 2012
    Inventors: Satoko GATINEAU, Julien GATINEAU, Christian DUSSARRAT
  • Patent number: 8133533
    Abstract: The method for producing a functional film includes a step of forming an organic film on a surface of a substrate and a step of forming an inorganic film by vacuum deposition on a surface of the organic film to produce the functional film. Prior to forming the inorganic film, a member contacts the surface of the organic film in a vacuum chamber at portions where the organic film does not exhibit its functions.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: March 13, 2012
    Assignee: Fujifilm Corporation
    Inventors: Masami Nakagame, Hiroyuki Nishida, Norihiro Kadota, Jun Fujinawa
  • Patent number: 8119187
    Abstract: In a chemical vapor deposition process simple precursors such as a rare earth nitrate or acetate, Ba-nitrate or acetate and Cu-nitrate or acetate are dissolved in an appropriate solvent, preferably water, to form a solution, nebulized into a fine mist and applied to a substrate.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: February 21, 2012
    Assignee: Superpower, Inc.
    Inventor: Venkat Selvamanickam
  • Patent number: 8101237
    Abstract: Methods and compositions for depositing a tellurium containing film on a substrate are disclosed. A reactor and at least one substrate disposed in the reactor are provided. A tellurium containing precursor is provided and introduced into the reactor, which is maintained at a temperature of at least 100° C. Tellurium is deposited on to the substrate through a deposition process to form a thin film on the substrate.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: January 24, 2012
    Assignee: L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude
    Inventors: Shingo Okubo, Kazutaka Yanagita, Julien Gatineau
  • Patent number: 8101236
    Abstract: A method of fabricating a low-k dielectric material with increased cohesive strength for use in electronic structures including interconnect and sensing structures is provided. The method includes the deposition of the dielectric material from a first precursor which is an carbosilane or an alkoxycarbosilane molecule.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: January 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Stephen M. Gates, Alfred Grill, Michael Lane, Qinghuang Lin, Robert D. Miller, Deborah A. Neumayer, Son Van Nguyen
  • Patent number: 8097301
    Abstract: A method of manufacturing an electrical insulation film includes (i) forming an R film containing at least one rare earth element R selected from the group including Sc, Y, La, Gd, Dy, Ho, Er, Tm, and Lu on at least a portion of a surface of a metal substrate where an electrical insulation property is needed, (ii) hydrogenating the R film under an inert gas atmosphere, which contains a hydrogen gas, to form an RH2 film; and (iii) oxidizing the RH2 film to form an R2O3 film.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: January 17, 2012
    Assignee: Casio Computer Co., Ltd.
    Inventors: Tetsushi Ishikawa, Osamu Nakamura
  • Patent number: 8092861
    Abstract: A fabrication method of an ultra low-k dielectric layer is provided. A deposition process is performed, under the control of a temperature varying program or a pressure varying program, by reacting a dielectric matrix to form porous low-k dielectric layers with a gradient density on a barrier layer over a substrate.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: January 10, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Mei-Ling Chen, Su-Jen Sung, Chien-Chung Huang
  • Patent number: 8080283
    Abstract: A method for providing a component with protection against sand related distress includes the steps of: providing a substrate; depositing a layer of a yttria-stabilized zirconia material on the substrate; and forming a molten silicate resistant outer layer over the yttria-stabilized zirconia material.
    Type: Grant
    Filed: April 15, 2010
    Date of Patent: December 20, 2011
    Assignee: United Technologies Corporation
    Inventors: Kevin W. Schlichting, Michael J. Maloney, David A. Litton, Melvin Freling, John G. Smeggil, David B. Snow
  • Patent number: 8071160
    Abstract: A method of forming a film is provided. Nanoparticles are deposited on a surface of a substrate using a liquid deposition process. The nanoparticles are linked to each other and to the surface using linker molecules. A coating having a surface energy of less than 70 dyne/cm is deposited over the film to form a coated film. The coated film has an RMS surface roughness of 25 nm to 500 nm, a film coverage of 25% to 60%, a surface energy of less than 70 dyne/cm; and a durability of 10 to 5000 microNewtons. Depending on the particular environment in which the film is to be used, a durability of 10 to 500 microNewtons may be preferred. A film thickness 3 to 100 times the RMS surface roughness of the film is preferred.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: December 6, 2011
    Assignee: Integrated Surface Technologies
    Inventors: Jeffrey D. Chinn, Robert W. Ashurst, Adam N. Anderson
  • Patent number: 8071167
    Abstract: Embodiments of the present invention relate to a surface preparation treatment for the formation of thin films of high k dielectric materials over substrates. One embodiment of a method of forming a high k dielectric layer over a substrate includes pre-cleaning a surface of a substrate to remove native oxides, pre-treating the surface of the substrate with a hydroxylating agent, and forming a high k dielectric layer over the surface of the substrate. One embodiment of a method of forming a hafnium containing layer over a substrate includes introducing an acid solution to a surface of a substrate, introducing a hydrogen containing gas and an oxygen containing gas to the surface of the substrate, and forming a hafnium containing layer over the substrate.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: December 6, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Shreyas S. Kher, Shixue Han, Craig R. Metzner
  • Patent number: 8057856
    Abstract: The present invention is a method for gettering undesirable atomic species from a vaporizing atmosphere during deposition of multi-element thin film phosphor compositions. The method comprises vaporizing one or more getter species immediately prior and/or simultaneously during the deposition of a phosphor film composition within a deposition chamber. The method improves the luminance and emission spectrum of phosphor materials used for full colour ac electroluminescent displays employing thick film dielectric layers with a high dielectric constant.
    Type: Grant
    Filed: March 10, 2005
    Date of Patent: November 15, 2011
    Assignee: Ifire IP Corporation
    Inventors: Dan Daeweon Cheong, Paul Barry Del Bel Belluz, Stephen Charles Cool, Abdul M. Nakua, James Alexander Robert Stiles, Yong-seon Lee, Terry Hunt, Vincent Joseph Alfred Pugliese
  • Patent number: 8053029
    Abstract: Disclosed is a method for fabricating a CuInS2 thin film by metal-organic chemical vapor deposition (MOCVD). The method comprises fabricating a copper thin film by depositing an asymmetric copper precursor on a substrate by MOCVD and fabricating a CuInS2 thin film by depositing an indium-sulfur-containing precursor on the copper thin film by MOCVD. The method enables fabrication of a CuInS2 thin film with a constant composition even under vacuum as well as an argon (Ar) atmosphere. Disclosed is further a CuInS2 thin film fabricated by the method. Disclosed is further a method for fabricating an In2S3 thin film for a window of a solar cell via deposition of an indium-sulfur-containing precursor on the CuInS2 thin film by MOCVD. Disclosed further is an In2S3 thin film fabricated by the method. The In2S3 thin film is useful for a substitute for CdS conventionally used for windows of solar cells and contributes to simplification in fabrication process of solar cells.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: November 8, 2011
    Assignees: Samsung SDI Co., Ltd., Samsung Electronics Co., Ltd., Seoul National University Industry Foundation, Chung-Ang University Industry-Academy Cooperation Foundation
    Inventors: Il Wun Shim, Seung Soo Lee, Kook Won Seo, Jong Pil Park
  • Patent number: 8034403
    Abstract: A method of forming a primary coat, which consists of a V- or Ti-containing film, formed on the surface of a subject on which holes or the like have been formed, according to the CVD technique, while using, for instance, a tetravalent amide-type vanadium-containing organometal compound as a raw gas and using, for instance, tertiary butyl hydrazine as a reducing gas, and a copper-containing film is then formed on the primary coat, according to the CVD technique, to thus fill the holes or the like with the copper-containing film and to thus form copper distributing wire, which is excellent in the hole-filling properties and excellent in the adhesion to a primary coat, this process can be applied to the field of copper distributing wires used in the semiconductor industries.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: October 11, 2011
    Assignee: Ulvac, Inc.
    Inventors: Mikio Watanabe, Hideaki Zama
  • Patent number: 8034407
    Abstract: A multi-step method for depositing ruthenium thin films having high conductivity and superior adherence to the substrate is described. The method includes the deposition of a ruthenium nucleation layer followed by the deposition of a highly conductive ruthenium upper layer. Both layers are deposited using chemical vapor deposition (CVD) employing low deposition rates.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: October 11, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Bryan C. Hendrix, James J. Welch, Steven M. Bilodeau, Jeffrey F. Roeder, Chongying Xu, Thomas H. Baum
  • Patent number: 8017182
    Abstract: Films are deposited on a substrate by a process in which atomic layer deposition (ALD) is used to deposit one layer of the film and pulsed chemical vapor deposition (CVD) is used to deposit another layer of the film. During the ALD part of the process, a layer is formed by flowing sequential and alternating pulses of mutually reactive reactants that deposit self-limitingly on a substrate. During the pulsed CVD part of the process, another layer is deposited by flowing two CVD reactants into a reaction chamber, with at least a first of the CVD reactants flowed into the reaction chamber in pulses, with those pulses overlapping at least partially with the flow of a second of the CVD reactants. The ALD and CVD parts of the process ca be used to deposit layers with different compositions, thereby forming, e.g., nanolaminate films. Preferably, high quality layers are formed by flowing the second CVD reactant into the reaction chamber for a longer total duration than the first CVD reactant.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: September 13, 2011
    Assignee: ASM International N.V.
    Inventors: Menso Hendriks, Martin Knapp, Suvi Haukka
  • Patent number: 8012536
    Abstract: Methods of forming metal-containing layers are provided where heteroleptic organometallic compounds containing at least one formamidinate ligand are conveyed in a gaseous form to a reactor; and films comprising a metal are deposited on a substrate. These heteroleptic organometallic compounds have improved properties over conventional vapor deposition precursors. Such compounds are suitable for use as vapor deposition precursors including direct liquid injection. Also provided are methods of depositing thin films, such as by ALD and CVD, using such compounds or their solutions in organic solvents.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: September 6, 2011
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Deodatta Vinayak Shenai-Khatkhate, Huazhi Li, Qing Min Wang
  • Publication number: 20110207332
    Abstract: A plasma processing apparatus used in semiconductor device manufacturing includes a process kit formed of insulating materials such as quartz and coated with a Y2O3 coating. The Y2O3 coating is a thin film formed using suitable CVD or PVD operations. The Y2O3 coating is resistant to degradation in fluorine etching chemistries commonly used to etch silicon in semiconductor manufacturing. The plasma processing apparatus may be used in etching, stripping and cleaning operations. Also provided in another embodiment is a plasma processing apparatus having a quartz process kit coated with a sapphire-like film.
    Type: Application
    Filed: May 12, 2010
    Publication date: August 25, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Shui LIU, Yeh-Chieh WANG, Jiun-Rong PAI
  • Publication number: 20110207283
    Abstract: Methods are provided herein for forming metal oxide thin films by atomic layer deposition. The metal oxide thin films can be deposited at high temperatures such that the thin film is crystalline as deposited. The metal oxide thin films can be used, for example, as dielectric oxides in transistors, flash devices, capacitors, integrated circuits, and other semiconductor applications.
    Type: Application
    Filed: February 22, 2010
    Publication date: August 25, 2011
    Inventors: Suvi Haukka, Hannu Huotari, Marko Tuominen
  • Patent number: 8003164
    Abstract: A method of making a scratch resistant coated article which is also resistant to attacks by at least some fluorine-inclusive etchant(s) for at least a period of time is provided. In certain example embodiments, an anti-etch layer(s) is provided on a glass substrate in order to protect the glass substrate from attacks by fluorine-inclusive etchant(s), a scratch resistant layer of or including DLC is provided over the anti-layer(s), and a seed layer is provided between the anti-layer(s) and the scratch resistant layer so as to facilitate the adhesion of the scratch resistant layer while also helping to protect the anti-layer(s). Optionally, a base layer(s) or underlayer(s) may be provided under at least the anti-etch layer(s).
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: August 23, 2011
    Assignee: Guardian Industries Corp.
    Inventors: Rudolph Hugo Petrmichl, Michael P. Remington, Jr., Jose Nunez-Regueiro, Maxi Frati, Greg Fisher
  • Publication number: 20110186464
    Abstract: A process for manufacturing glass containers completely or partly treated with the chemical vapor deposition (CVD) technique, by which a layer of oxides of Si and/or B and/or Ti and/or Zr and/or Ta and/or Al and/or mixtures of one or more of said elements is deposited with HTAP-MOCVD technique, includes the step of carrying our the deposition during the annealing of the container, by supplying into the annealing furnace a suitable gas mixture of precursor, reactant and transport gas.
    Type: Application
    Filed: October 11, 2007
    Publication date: August 4, 2011
    Applicant: NUOVA OMPI S.R.L.
    Inventors: Giovanni Carta, Fabiano Nicoletti, Gilberto Rossetto, Pierino Zanella
  • Patent number: 7985443
    Abstract: The invention relates to a self-cleaning lighting device comprising a light source and a wall, which permits a portion of at least the radiation emitted by said source to pass therethrough and which is covered, over a portion of at least one of its two faces, by a photocatalytically active layer. The invention is characterized in that under the weakest illumination conditions, the photocatalytic activity of said layer is high enough for degrading and reducing organic soilings into easily eliminable particles that do not adhere to said layer, and/or for conferring a hydrophilic character to this layer. The invention also relates to a method for producing the aforementioned device, a translucent wall provided for this device, and to the use of the device for lighting tunnels, public lighting, airport runway lighting, indoor lighting or for headlamps or indicator lights of transportation vehicles.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: July 26, 2011
    Assignee: Saint-Gobain Glass France
    Inventors: Lethicia Gueneau, Christian Bouigeon, Hugues Tariel, Mauricette Rondet
  • Patent number: 7981473
    Abstract: A process in which a wafer is exposed to a first chemically reactive precursor dose insufficient to result in a maximum saturated ALD deposition rate on the wafer, and then to a second chemically reactive precursor dose, the precursors being distributed in a manner so as to provide substantially uniform film deposition. The second chemically reactive precursor dose may likewise be insufficient to result in a maximum saturated ALD deposition rate on the wafer or, alternatively, sufficient to result in a starved saturating deposition on the wafer. The process may or may not include purges between the precursor exposures, or between one set of exposures and not another.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: July 19, 2011
    Assignee: Aixtron, Inc.
    Inventors: Gi Youl Kim, Anuranjan Srivastava, Thomas E. Seidel, Ana R. Londergan, Sasangan Ramanathan