Silicon Dioxide Coating Patents (Class 427/255.37)
  • Publication number: 20100227061
    Abstract: The present invention generally comprises a silicon dioxide atomic layer deposition method. By providing pyridine as a catalyst, water may be utilized as the oxidization source while depositing at a low temperature. Prior to exposing the substrate to the water, the substrate may be exposed to a pyridine soak process. Additionally, the water may be co-flowed to the chamber with the pyridine through separate conduits to reduce interaction prior to entering the chamber. Alternatively, the pyridine may be co-flowed with a silicon precursor that does not react with pyridine.
    Type: Application
    Filed: May 26, 2010
    Publication date: September 9, 2010
    Inventors: Maitreyee Mahajani, Yi-Chiau Huang, Brendan McDougall
  • Patent number: 7790289
    Abstract: The invention relates to a vapor-deposition material for the production of optical layers of medium refractive index which comprises aluminum oxide and gadolinium oxide, dysprosium oxide and/or ytterbium oxide, to a process for the preparation thereof, and to the use thereof.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: September 7, 2010
    Assignee: Merck Patent GMBH
    Inventors: Martin Friz, Reiner Dombrowski, Uwe Anthes
  • Patent number: 7791272
    Abstract: A light-emitting element includes a protective layer in contact with an upper electrode and a circular polarizer in contact with the protective layer.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: September 7, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Tomoyuki Tamura, Ichiro Kataoka
  • Publication number: 20100203245
    Abstract: A method for fabricating a photonic crystal structure is disclosed herein for forming a cavity-type or a pillar type photonic crystal structure of a large area. By the property that a hetero-interface inhibits epitaxial growth, a patterned film layer is formed over the epitaxy substrate, so a photonic crystal structure is grown vertically by epitaxy in area outside of the patterned film layer on the epitaxy substrate. Furthermore, by designing the pattern of the patterned film, a defect mode photonic crystal structure such as an optical waveguide, an optical resonator and a beam splitter can be formed.
    Type: Application
    Filed: April 1, 2009
    Publication date: August 12, 2010
    Inventors: Shiuh Chao, Chen-Yang Huang, Hao-Min Ku
  • Publication number: 20100190331
    Abstract: A method for depositing a film onto a substrate is provided. The substrate is contained within a reactor vessel at a pressure of from about 0.1 millitorr to about 100 millitorr. The method comprises subjecting the substrate to a reaction cycle comprising i) supplying to the reactor vessel a gas precursor at a temperature of from about 20° C. to about 150° C. and a vapor pressure of from about 0.1 torr to about 100 torr, wherein the gas precursor comprises at least one organo-metallic compound; and ii) supplying to the reactor vessel a purge gas, an oxidizing gas, or combinations thereof.
    Type: Application
    Filed: September 15, 2009
    Publication date: July 29, 2010
    Inventors: Steven C. Selbrede, Martin Zucker, Vincent Venturo
  • Patent number: 7758919
    Abstract: This invention describes methods of synthesis and applications of a composite material of a colloidal crystal and a substrate. The method includes steps of (a) providing a substrate having a surface with a surface relief pattern; and (b) applying a liquid dispersion containing colloidal particles onto the surface and spinning the substrate whereby colloidal particles are swept across the surface and self-assemble in void spaces on the surface defined by the relief pattern. The resulting composite material (substrate with colloidal crystal) may be used in various applications such as chromatography, for use in lab-on-chip based devices, micro-reactors and the like. The material may be infiltrated by a material and the composite inverted to remove the colloidal particles to produce an inverted colloidal crystal pattern on the substrate. The material may be selected such that the inverted colloidal crystal pattern is a photonic crystal.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: July 20, 2010
    Assignee: The Governing Council of the University of Toronto
    Inventors: Geoffrey Alan Ozin, San Ming Yang, Hernan Miguez
  • Patent number: 7754286
    Abstract: There is provided a method of forming a silicon dioxide film, which comprises repeating a step of depositing a silicon layer on a silicon substrate to form a silicon dioxide film of a predetermined thickness, and which makes it possible to suitably select the surface roughness of the silicon dioxide film that is formed and the rate of growth of the silicon film that is deposited. According to the method of forming the silicon dioxide film that is proposed above, it comprises a step of depositing any one of polysilicon, epitaxial silicon or amorphous silicon on the silicon substrate or on the silicon dioxide film formed on the silicon substrate by the thermal oxidation treatment to form a silicon film, and a step of thermally oxidizing the silicon film to convert it into a silicon dioxide film, the step of deposition and the step of thermal oxidation being repeated a plural number of times.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: July 13, 2010
    Assignee: KST World Corp.
    Inventor: Masahiro Kawasaki
  • Publication number: 20100159151
    Abstract: Methods of making components having calcium magnesium aluminosilicate (CMAS) mitigation capability including providing a component; applying an environmental barrier coating to the component, the environmental barrier coating having a separate CMAS mitigation layer including a CMAS mitigation composition selected from the group consisting of zinc aluminate spinel, alkaline earth zirconates, alkaline earth hafnates, rare earth gallates, beryl, and combinations thereof.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 24, 2010
    Inventors: GLEN HAROLD KIRBY, BRETT ALLEN BOUTWELL, JOHN FREDERICK ACKERMAN
  • Patent number: 7700156
    Abstract: In a method of forming a silicon oxide film, a target substrate that has a silicon layer on a surface is loaded into a process area within a reaction container, while setting the process area to have a loading temperature of 400° C. or less. Then, the process area that accommodates the target substrate is heated, from the loading temperature to a process temperature of 650° C. or more. Water vapor is supplied into the reaction container during said heating the process area, while setting the water vapor to have a first concentration in an atmosphere of the process area, and setting the process area to have a first reduced pressure. After said heating the process area to the process temperature, an oxidation gas is supplied into the reaction container, thereby oxidizing the silicon layer to form a silicon oxide film.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: April 20, 2010
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Kimiya Aoki, Katsushi Suzuki, Asami Shirakawa, Kenji Tago, Keisuke Suzuki, Kazuo Saki, Shinji Mori
  • Patent number: 7695765
    Abstract: Methods of preparing a carbon doped oxide (CDO) layer with a low dielectric constant (<3.2) and low residual stress without sacrificing important integration properties such as refractive index and etch rate are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to TMSA, followed by igniting and maintaining a plasma in a deposition chamber using radio frequency power having high and low frequency components or one frequency component only, and depositing the carbon doped oxide film under conditions in which the resulting dielectric layer has a net tensile stress of less than about 40 MPa, a hardness of at least about 1 GPa, and a SiC:SiOx bond ratio of not greater than about 0.75.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: April 13, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Keith Fox, Carole Mars, Willis Kirkpatrick, Easwar Srinivasan
  • Publication number: 20100021632
    Abstract: Method and device for the application of transparent silicon dioxide layers from the gas phase, in which precursors are introduced into an oven by means of a carrier gas, characterized in that a liquid-phase process takes place upstream of the gas-phase process, the liquid-phase process used being a process which would take place as a quasi-sol-gel process from silicon-containing starting chemicals up to the formation of a silicon dioxide gel, but the liquid-phase process is stopped in the batch of the sol state by vaporizing the reaction mixture with the precursors present, mixing it with the carrier gas and transporting it to the oven.
    Type: Application
    Filed: September 4, 2009
    Publication date: January 28, 2010
    Inventors: Andreas BIEDERMANN, Bianca BIEDERMANN
  • Patent number: 7651730
    Abstract: A silicon oxide film is formed on a target substrate by CVD, in a process field configured to be selectively supplied with an Si-containing gas, an oxidizing gas, and a deoxidizing gas. This method alternately includes first to fourth steps. The first step is arranged to perform supply of the Si-containing gas to the process field while stopping supply of the oxidizing and deoxidizing gases to the process field. The second step is arranged to stop supply of the Si-containing, oxidizing, and deoxidizing gases to the process field. The third step is arranged to perform supply of the oxidizing and deoxidizing gases to the process field at the same time, while stopping supply of the Si-containing gas to the process field. The fourth step is arranged to stop supply of the Si-containing, oxidizing, and deoxidizing gases to the process field.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: January 26, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kazuhide Hasebe
  • Patent number: 7645486
    Abstract: The invention relates to a of manufacturing a silicon dioxide layer of low roughness, that includes depositing a layer of silicon dioxide over a substrate by a low pressure chemical vapor deposition (LPCVD) process, the deposition process employing simultaneously a flow of tetraethylorthosilicate (TEOS) as the source material for the film deposition and a flow of a diluant gas that it not reactive with TEOS, so that the diluant gas/TEOS flow ratio is between 0.5 and 100; and annealing the silicon dioxide layer at a temperature between 600° C. and 1200° C., for a duration between 10 minutes and 6 hours.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: January 12, 2010
    Assignees: S.O.I. Tec Silicon on Insulator Technologies, ASM International N.V.
    Inventors: Konstantin Bourdelle, Nicolas Daval, Ian Cayrefourcq, Steven R. A. Van Aerde, Marinus J. M. De Blank, Cornelius A. Van Der Jeugd
  • Patent number: 7638161
    Abstract: A method and apparatus for controlling dopant concentration during borophosphosilicate glass film deposition on a semiconductor wafer to reduce consumption of nitride on the semiconductor wafer. In one embodiment of the invention, the method starts by placing a substrate having a nitride layer in a reaction chamber and providing a silicon source, an oxygen source and a boron source into the reaction chamber while delaying providing a phosphorous source into the reaction chamber to form a borosilicate glass layer over the nitride layer. The method continues by providing the silicon, oxygen, boron and phosphorous sources into the reaction chamber to form a borophosphosilicate film over the borosilicate glass layer.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: December 29, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kevin Mukai, Shankar Chandran
  • Patent number: 7628897
    Abstract: A film is deposited on a substrate disposed in a substrate processing chamber. The substrate has a trench formed between adjacent raised surfaces. A first portion of the film is deposited over the substrate from a first gaseous mixture flowed into the process chamber by chemical-vapor deposition. Thereafter, the first portion is etched by flowing an etchant gas having a halogen precursor, a hydrogen precursor, and an oxygen precursor into the process chamber. Thereafter, a second portion of the film is deposited over the substrate from a second gaseous mixture flowed into the processing chamber by chemical-vapor deposition.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: December 8, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Hemant P. Mungekar, Anjana M. Patel, Manoj Vellaikal, Anchuan Wang, Bikram Kapoor
  • Patent number: 7595088
    Abstract: A method of depositing a silicon oxide layer over a substrate having a trench formed between adjacent raised surfaces. In one embodiment the silicon oxide layer is formed in a multistep process that includes depositing a first portion of layer over the substrate and within the trench by forming a high density plasma process that has simultaneous deposition and sputtering components from a first process gas comprising a silicon source, an oxygen source and helium and/or molecular hydrogen with highD/S ratio, for example, 10-20 and, thereafter, depositing a second portion of the silicon oxide layer over the substrate and within the trench by forming a high density plasma process that has simultaneous deposition and sputtering components from a second process gas comprising a silicon source, an oxygen source and molecular hydrogen with a lowerD/S ratio of, for example, 3-10.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: September 29, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Bikram Kapoor, M. Ziaul Karim, Anchuan Wang
  • Patent number: 7585789
    Abstract: A method of forming a porous film on a semiconductor substrate includes: supplying a silicon compound containing at least one Si—O bond in its molecule in a gaseous phase into a reaction chamber; forming a siloxane oligomer through plasma reaction of the silicon compound; and supplying an organic amine in a gaseous phase into the reaction chamber and reacting the organic amine with the siloxane oligomer, thereby forming a porous film on the semiconductor substrate.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: September 8, 2009
    Assignees: ASM Japan K.K., Ulvac, Inc., NEC Corporation
    Inventors: Yasuyoshi Hyodo, Kazuo Kohmura, Nobutoshi Fujii, Nobutaka Kunimi, Keizo Kinoshita
  • Patent number: 7514375
    Abstract: During bottom filling of high aspect ratio gaps and trenches in an integrated circuit substrate using HDP-CVD, a pulsed HF bias is applied to the substrate. In some embodiments, pulsed HF bias is applied to the substrate during etching operations. The pulsed bias typically has a pulse frequency in a range of about from 500 Hz to 20 kHz and a duty cycle in a range of about from 0.1 to 0.95.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: April 7, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Sunil Shanker, Chi-I Lang
  • Publication number: 20090075119
    Abstract: An embodiment of the present invention provides a method of making an electronically tunable dielectric material comprising mixing particles of at least one electronically tunable dielectric phase and particles of at least one compound of low loss complex perovskites, and particles of optional one other family of materials; and sintering the material.
    Type: Application
    Filed: October 2, 2008
    Publication date: March 19, 2009
    Inventors: Xubai Zhang, Louise C. Sengupta, Elijah Aaron Underhill
  • Patent number: 7488683
    Abstract: A method of forming a vapor deposited film of a silicon oxide on the surface of a substrate by holding the substrate to be treated in a plasma-treating chamber, and effecting the treatment with a chemical plasma by feeding an organosilicon compound and an oxidizing gas into the treating chamber, wherein the rate of feeding the oxidizing gas is varied while maintaining constant the rate of feeding the organosilicon compound gas into the plasma-treating chamber during the formation of the vapor deposited film. A chemical vapor deposited film is formed featuring excellent adhesiveness, softness, flexibility, oxygen-barrier property and water-barrier property.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: February 10, 2009
    Assignee: Toyo Seikan Kaisha, Ltd.
    Inventors: Akira Kobayashi, Tsunehisa Namiki, Hiroko Hosono, Hideo Kurashima, Hajime Inagaki, Toshihide Ieki
  • Patent number: 7470454
    Abstract: Low dielectric materials and films comprising same have been identified for improved performance when used as interlevel dielectrics in integrated circuits as well as methods for making same. In certain embodiments of the invention, there is provided a low-temperature process to remove at least a portion of at least one pore-forming material within a composite film thereby forming a porous film. The pore-forming material may be removed via exposure to at least one energy source, preferably an ultraviolet light source, in a non-oxidizing atmosphere.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: December 30, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aaron Scott Lukas, Mark Leonard O'Neill, Mark Daniel Bitner, Jean Louise Vincent, Raymond Nicholas Vrtis, Eugene Joseph Karwacki, Jr.
  • Publication number: 20080305278
    Abstract: A method for modifying a plastic surface is disclosed. The method can include chemically reacting a polysilicone compound placed in contact with the plastic surface to form a silicon dioxide glass layer on the surface portion. The glass layer can be modified in a second process to create a desired surface property.
    Type: Application
    Filed: June 5, 2007
    Publication date: December 11, 2008
    Inventors: Stephen C. Jacobsen, David Marceau
  • Publication number: 20080299288
    Abstract: A method of providing a durable protective coating structure which comprises at least three layers, and which is stable at temperatures in excess of 400° C., where the method includes vapor depositing a first layer deposited on a substrate, wherein the first layer is a metal oxide adhesion layer selected from the group consisting of an oxide of a Group IIIA metal element, a Group IVB metal element, a Group VB metal element, and combinations thereof; vapor depositing a second layer upon said first layer, wherein said second layer includes a silicon-containing layer selected from the group consisting of silicon oxide, silicon nitride, and silicon oxynitride; and vapor depositing a third layer upon said second layer, wherein said third layer is a functional organic-comprising layer. Numerous articles useful in electronics, MEMS, nanoimprinting lithography, and biotechnology applications can be fabricated using the method.
    Type: Application
    Filed: May 5, 2008
    Publication date: December 4, 2008
    Inventors: Boris Kobrin, Dangaria Nikunji Hirji, Romuald Nowak, Michael T. Grimes
  • Publication number: 20080280039
    Abstract: The present invention provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. A first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
    Type: Application
    Filed: July 22, 2008
    Publication date: November 13, 2008
    Applicant: SAM AMERICA, INC.
    Inventor: Arthur Sherman
  • Patent number: 7431966
    Abstract: The invention includes atomic layer deposition methods of depositing an oxide on a substrate. In one implementation, a substrate is positioned within a deposition chamber. A first species is chemisorbed onto the substrate to form a first species monolayer within the deposition chamber from a gaseous precursor. The chemisorbed first species is contacted with remote plasma oxygen derived at least in part from at least one of O2 and O3 and with remote plasma nitrogen effective to react with the first species to form a monolayer comprising an oxide of a component of the first species monolayer. The chemisorbing and the contacting with remote plasma oxygen and with remote plasma nitrogen are successively repeated effective to form porous oxide on the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: December 9, 2003
    Date of Patent: October 7, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Shuang Meng, Danny Dynka
  • Patent number: 7425350
    Abstract: A method for making a Si-containing material comprises transporting a pyrolyzed Si-precursor to a substrate and polymerizing the pyrolyzed Si-precursor on the substrate to form a Si-containing film. Polymerization of the pyrolyzed Si-precursor may be carried out in the presence of a porogen to thereby form a porogen-containing Si-containing film. The porogen may be removed from the porogen-containing Si-containing film to thereby form a porous Si-containing film. Preferred porous Si-containing films have low dielectric constants and thus are suitable for various low-k applications such as in microelectronics and microelectromechanical systems.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: September 16, 2008
    Assignee: ASM Japan K.K.
    Inventor: Michael A. Todd
  • Patent number: 7410671
    Abstract: The present invention provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. A first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: August 12, 2008
    Assignee: ASM International N.V.
    Inventor: Arthur Sherman
  • Publication number: 20080187767
    Abstract: A process for applying an oxidation resistant coating to an article includes the steps of mixing at least about 10% by volume to up to about 99% by volume of a slurry at least one silica based material having a viscosity of about 1×102 poise to about 1×107 poise at a temperature of about 1,292° F. (700° C.) to about 3,272° F. (1,800° C.) at least about 1% by volume to up to about 90% by volume of the slurry at least one oxygen scavenger, and a liquid medium to form the slurry; coating an article with the slurry to form a slurry coated article; and heat treating under an inert atmosphere the slurry coated article to form an article having at least one oxidation resistant coating layer containing the at least one oxygen scavenger.
    Type: Application
    Filed: November 21, 2006
    Publication date: August 7, 2008
    Inventors: Xia Tang, Tania Bhatia, David C. Jarmon, Wayde R. Schmidt, Harry E. Eaton, John G. Smeggil
  • Patent number: 7404990
    Abstract: Low dielectric materials and films comprising same have been identified for improved performance when used as interlevel dielectrics in integrated circuits as well as methods for making same. In certain embodiments of the invention, there is provided a low-temperature process to remove at least a portion of at least one pore-forming phase within a multiphasic film thereby forming a porous film. The pore-forming phase may be removed via exposure to at least one energy source, preferably an ultraviolet light source, in a non-oxidizing atmosphere.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: July 29, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aaron Scott Lukas, Mark Leonard O'Neill, Mark Daniel Bitner, Jean Louise Vincent, Raymond Nicholas Vrtis, Eugene Joseph Karwacki, Jr.
  • Patent number: 7399388
    Abstract: A method of depositing a silica glass insulating film over a substrate. In one embodiment the method comprises exposing the substrate to a silicon-containing reactant introduced into a chamber in which the substrate is disposed such that one or more layers of the silicon-containing reactant are adsorbed onto the substrate; purging or evacuating the chamber of the silicon-containing reactant; converting the silicon-containing reactant into a silica glass insulating compound by exposing the substrate to oxygen radicals formed from a second reactant while biasing the substrate to promote a sputtering effect, wherein an average atomic mass of all atomic constituents in the second reactant is less than or equal to an average atomic mass of oxygen; and repeating the exposing, purging/evacuating and exposing sequence a plurality of times until a desired film thickness is reached.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: July 15, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Farhad K. Moghadam, Michael S. Cox, Padmanabhan Krishnaraj, Thanh N. Pham
  • Patent number: 7399697
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting a mixture comprising an oxidizable silicon component and an oxidizable component having thermally labile groups with an oxidizing gas in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: July 15, 2008
    Assignee: Applied Materials, Inc.
    Inventor: Robert P. Mandal
  • Patent number: 7384471
    Abstract: A porous organosilica glass (OSG) film consists of a single phase of a material represented by the formula SivOwCxHyFz, where v+w+x+y+z=100%, v is from 10 to 35 atomic %, w is from 10 to 65 atomic %, x is from 5 to 30 atomic %, y is from 10 to 50 atomic % and z is from 0 to 15 atomic %, wherein the film has pores and a dielectric constant less than 2.6. The film is provided by a chemical vapor deposition method in which a preliminary film is deposited from organosilane and/or organosiloxane precursors and pore-forming agents (porogens), which can be independent of, or bonded to, the precursors. The porogens are subsequently removed to provide the porous film. Compositions, such as kits, for forming the films include porogens and precursors. Porogenated precursors are also useful for providing the film.
    Type: Grant
    Filed: April 7, 2003
    Date of Patent: June 10, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Raymond Nicholas Vrtis, Mark Leonard O'Neill, Jean Louise Vincent, Aaron Scott Lukas, Manchao Xiao, John Anthony Thomas Norman
  • Patent number: 7384665
    Abstract: A structure and method for producing color filters with a protective silation layer is described. In one embodiment, each filter is coated with a silation layer to prevent bleeding of material between closely spaced filters during the fabrication process. In a second embodiment, the silation layer is used to protect an array of filters from physical damage during detaping operations. In a third embodiment, the silation layer is used before fabrication later filters in a color filter array to prevent damage to previous filter layers.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: June 10, 2008
    Assignee: Intel Corporation
    Inventor: Neil Wester
  • Publication number: 20080113097
    Abstract: The present invention generally comprises a silicon dioxide atomic layer deposition method. By providing pyridine as a catalyst, water may be utilized as the oxidization source while depositing at a low temperature. Prior to exposing the substrate to the water, the substrate may be exposed to a pyridine soak process. Additionally, the water may be co-flowed to the chamber with the pyridine through separate conduits to reduce interaction prior to entering the chamber. Alternatively, the pyridine may be co-flowed with a silicon precursor that does not react with pyridine.
    Type: Application
    Filed: November 14, 2006
    Publication date: May 15, 2008
    Inventors: Maitreyee Mahajani, Yi-Chiau Huang, Brendan McDougall
  • Patent number: 7341761
    Abstract: Methods of preparing a carbon doped oxide (CDO) layers having a low dielectric constant are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to one or multiple carbon-doped oxide precursors having molecules with at least one carbon—carbon triple bond, or carbon—carbon double bond, or a combination of these groups and depositing the carbon doped oxide dielectric layer under conditions in which the resulting dielectric layer has a dielectric constant of not greater than about 2.7.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: March 11, 2008
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Haiying Fu, Xingyuan Tang
  • Patent number: 7258895
    Abstract: The invention includes methods of forming material on a substrate and methods of forming a field effect transistor gate oxide. In one implementation, a first species monolayer is chemisorbed onto a substrate within a chamber from a gaseous first precursor. The first species monolayer is discontinuously formed over the substrate. The substrate having the discontinuous first species monolayer is exposed to a gaseous second precursor different from the first precursor effective to react with the first species to form a second species monolayer, and effective to form a reaction product of the second precursor with substrate material not covered by the first species monolayer. The substrate having the second species monolayer and the reaction product is exposed to a third gaseous substance different from the first and second precursors effective to selectively remove the reaction product from the substrate relative to the second species monolayer. Other implementations are contemplated.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: August 21, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7220461
    Abstract: A method for forming a silicon oxide film includes disposing a silicon oxide film on a surface of a target substrate, and performing a reformation process on the silicon oxide film. The reformation process is performed by annealing the silicon oxide film while exposing the silicon oxide film to oxygen radicals and hydroxyl group radicals.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: May 22, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Atsushi Endoh, Daisuke Suzuki, Keisuke Suzuki
  • Patent number: 7211295
    Abstract: Disclosed herein is a silicon dioxide film forming method including: a reaction chamber heating step of heating a reaction chamber to a predetermined temperature, the reaction chamber containing an object to be processed; a gas pretreating step of energizing a process gas to produce water, the process gas including hydrogen, chlorine, and oxygen gas; and a film forming step of forming a silicon dioxide film by supplying the the process gas that has been energized to produce water into the heated reaction chamber to oxidize the silicon layer of the object to be processed.
    Type: Grant
    Filed: April 23, 2004
    Date of Patent: May 1, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Yutaka Takahashi, Hitoshi Kato, Katsutoshi Ishii, Kazutoshi Miura
  • Patent number: 7205249
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10–250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organosilane film is produced by reaction of methylsilane, CH3SiH3, or dimethylsilane, (CH3)2SiH2, and nitrous oxide, N2O, at an RF power level from about 10 to 200 W or a pulsed RF power level from about 20 to 250 W during 10–30% of the duty cycle.
    Type: Grant
    Filed: October 5, 2004
    Date of Patent: April 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: David Cheung, Wai-Fan Yau, Robert R. Mandal
  • Patent number: 7205240
    Abstract: A gapfill process is provided using cycling of HDP-CVD deposition, etching, and deposition step. The fluent gas during the first deposition step includes an inert gas such as He, but includes H2 during the remainder deposition step. The higher average molecular weight of the fluent gas during the first deposition step provides some cusping over structures that define the gap to protect them during the etching step. The lower average molecular weight of the fluent gas during the remainder deposition step has reduced sputtering characteristics and is effective at filling the remainder of the gap.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: April 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: M. Ziaul Karim, Bikram Kapoor, Anchuan Wang, Dong Qing Li, Katsunari Ozeki, Manoj Vellaikal, Zhuang Li
  • Patent number: 7153584
    Abstract: Hybrid films, such as those having good abrasion-resistance and defogging properties, antireflection films including it, optical products, and methods for restoring the defogging property of the hybrid films are disclosed. The hybrid films having a defogging property may be obtained through vapor deposition of an organic compound having a hydrophilic group and a reactive group along with silicon dioxide or with silicon dioxide and aluminum oxide. The antireflection film may be formed on a substrate having the hybrid film as the outermost layer opposite to the substrate. The optical product may comprise a plastic substrate and the antireflection film having the hybrid film. A method for restoring the defogging property of the hybrid film of the optical product may include washing the hybrid film.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: December 26, 2006
    Assignee: Hoya Corporation
    Inventors: Hiroshi Shirakawa, Takeshi Mitsuishi, Kenichi Shinde
  • Patent number: 7101815
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 is provided, comprising placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 7060323
    Abstract: A material containing, as a main component, an organic silicon compound represented by the following general formula: R1xSi(OR2)4-x (where R1 is a phenyl group or a vinyl group; R2 is an alkyl group; and x is an integer of 1 to 3) is caused to undergo plasma polymerization or react with an oxidizing agent to form an interlayer insulating film composed of a silicon oxide film containing an organic component. As the organic silicon compound where R1 is a phenyl group, there can be listed phenyltrimethoxysilane or diphenyldimethoxysilane. As the organic silicon compound where R1 is a vinyl group, there can be listed vinyltrimethoxysilane or divinyldimethoxysilane.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: June 13, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Gaku Sugahara, Nobuo Aoi, Koji Arai, Kazuyuki Sawada
  • Patent number: 7056560
    Abstract: A method for depositing a low dielectric constant film is provided by reacting a gas mixture including one or more linear, oxygen-free organosilicon compounds, one or more oxygen-free hydrocarbon compounds comprising one ring and one or two carbon-carbon double bonds in the ring, and one or more oxidizing gases. Optionally, the low dielectric constant film is post-treated after it is deposited. In one aspect, the post treatment is an electron beam treatment.
    Type: Grant
    Filed: February 4, 2004
    Date of Patent: June 6, 2006
    Assignee: Applies Materials Inc.
    Inventors: Kang Sub Yim, Yi Zheng, Srinivas D. Nemani, Li-Qun Xia, Eric P. Hollar
  • Patent number: 7005427
    Abstract: A cellulose acylate solution is used for producing a film in a solution casting method. Particles to be added to the cellulose acylate solution are silicon dioxide and surfaces of the particles are positively charged. After the particles are added to the solution, the filtrated solution is used for the film production. Thus the aggregation is prevented, and after the filtration pressure increases, the particles of large size are not contained in the filtrated solution. The obtained film contains a small amount of foreign materials and the situation of the film surface is good.
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: February 28, 2006
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Takashi Ito, Masaharu Terayama
  • Patent number: 6987197
    Abstract: The organozirconium composite of the present invention has a decomposition temperature which is near the respective decomposition temperatures of an organolead compound and an organotitanium compound. The raw material solution can precisely control the composition of a PZT thin film over a broad temperature range. The raw material solution is less likely to react an organolead compound even when mixed with the organolead compound. The present invention provides a raw material solution which is less likely to cause vapor phase cracking.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: January 17, 2006
    Assignee: Mitsubishi Materials Corporation
    Inventors: Shingo Okamura, Hideyuki Hirakoso, Nobuyuki Soyama, Katsumi Ogi, Yoshinori Takayama
  • Patent number: 6974780
    Abstract: The invention provides semiconductor processing methods of depositing SiO2 on a substrate. In a preferred aspect, the invention provides methods of reducing the formation of undesired reaction intermediates in a chemical vapor deposition (CVD) decomposition reaction. In one implementation, the method is performed by feeding at least one of H2O and H2O2 into a reactor with an organic silicon precursor. For example, in one exemplary implementation, such components are, in gaseous form, fed separately into the reactor. In another exemplary implementation, such components are combined in liquid form prior to introduction into the reactor, and thereafter rendered into a gaseous form for provision into the reactor. The invention can be practiced with or in both hot wall and cold wall CVD systems.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: December 13, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Klaus F. Schuegraf
  • Patent number: 6958172
    Abstract: Hybrid films, such as those having good abrasion-resistance and defogging properties, antireflection films including it, optical products, and methods for restoring the defogging property of the hybrid films are disclosed. The hybrid films having a defogging property may be obtained through vapor deposition of an organic compound having a hydrophilic group and a reactive group along with silicon dioxide or with silicon dioxide and aluminum oxide. The antireflection film may be formed on a substrate having the hybrid film as the outermost layer opposite to the substrate. The optical product may comprise a plastic substrate and the antireflection film having the hybrid film. A method for restoring the defogging property of the hybrid film of the optical product may include washing the hybrid film.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: October 25, 2005
    Assignee: Hoya Corporation
    Inventors: Hiroshi Shirakawa, Takeshi Mitsuishi, Kenichi Shinde
  • Patent number: 6955836
    Abstract: A silicon oxide film formation method enhances the efficiency of generating atomic oxygen and improves film quality of a silicon film (SiO2 film) in forming the silicon oxide film using an RS-CVD system. Nitrogen atom containing gas (N2 gas, NO gas, N2O gas, NO2 gas or the like) is added to oxygen atom containing gas (O2 gas, O3 gas or the like) introduced into a plasma generating space in a vacuum container to produce plasmas with these gases and to thereby increase the quantity of atomic oxygen generated by the plasmas in the plasma generating space.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: October 18, 2005
    Assignees: Anelva Corporation, NEC Corporation
    Inventors: Akira Kumagai, Keiji Ishibashi, Shigeru Mori
  • Patent number: 6953609
    Abstract: A high-density plasma process is proposed for depositing a layer of Silicon Nitride on a substrate in a plasma reactor. The process includes the steps of: providing a gas including precursor components of the Silicon Nitride, generating a plasma applying a radio-frequency power to the gas, and the plasma reacting with the substrate to deposit the layer of Silicon Nitride. The power applied to the gas is in the range from 2.5 kW to 4 kW.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: October 11, 2005
    Assignee: STMicroelectronics S.r.l.
    Inventor: Enzo Carollo