Silicon Dioxide Coating Patents (Class 427/255.37)
  • Patent number: 6949392
    Abstract: The integrated optical circuit of the present invention includes a substrate with a first cladding layer. A first core layer having one or more waveguiding elements is formed on the first cladding layer. A second cladding layer surrounds the waveguiding elements of the first core layer; the refractive index of the first and second cladding layers are selected to be less than the refractive index of the waveguiding element(s). Through simultaneous cladding material deposition and cladding material removal, the second cladding layer as deposited is substantially self-planarized, enabling further layers to be positioned on the second cladding layer without necessitating intermediate planarization. Further, the present invention permits planar waveguide cores having submicron core spacings to be covered by a subsequently-deposited cladding layer without cladding gaps, seams or other deleterious cladding defects.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: September 27, 2005
    Assignee: Little Optics, Inc.
    Inventors: David M. Gill, Frederick G Johnson, Oliver S. King
  • Patent number: 6919102
    Abstract: A method of stabilizing the properties of a material layer is disclosed. A plurality of wafers are stored in a FOUP and in sequence the wafers are transferred to a chamber to proceed with deposition of a material layer and to the FOUP filled with a specific gas after deposition until all the wafers in the FOUP are treated. In the process of deposition, the wafers deposited with material layers on their surfaces are stored in the FOUP filled with specific gas. Therefore, the surface properties of all the wafers in the FOUP are stablilized and contamination due to outgassing is prevented.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: July 19, 2005
    Assignee: Powerchip Semiconductor Corp.
    Inventor: Ching-hua Chen
  • Patent number: 6919101
    Abstract: A method for improving the adhesion of an impermeable film on a porous low-k dielectric film in an interconnect structure is disclosed. The method provides an in-situ annealing step before the deposition of the impermeable film to release the volatile trapped molecules such as water, alcohol, HCl, and HF vapor, inside the pores of the porous low-k dielectric film. The method also provides an in-situ deposition step of the impermeable film right after the deposition of the porous low dielectric film without exposure to an atmosphere containing trappable molecules. The method further provides an in-situ deposition step of the impermeable film right after the removal a portion of the porous low-k dielectric film without exposure to an atmosphere containing trappable molecules. By the removal of all trapped molecules inside the porous low-k dielectric film, the adhesion between the deposited impermeable film and the low-k dielectric film is improved.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: July 19, 2005
    Assignee: Tegal Corporation
    Inventors: Zhihong Zhang, Tai Dung Nguyen, Tue Nguyen
  • Patent number: 6911233
    Abstract: A thin film deposition method using plasma enhanced chemical vapor deposition is described. In a plasma enhanced chemical vapor deposition chamber, plasma is used to enhance the chemical reaction to form a thin film on a substrate. The substrate is then removed, followed by passing a cleaning gas into the chamber to remove residues in the chamber. Before loading another batch of substrate in the chamber, a pre-deposition process is performed to isolate contaminants generated from the cleaning process. A discharge plasma treatment is then conducted to lower the amount of accumulated electrical charges.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: June 28, 2005
    Assignee: Toppoly Optoelectronics Corp.
    Inventor: Frank Lin
  • Patent number: 6899763
    Abstract: An apparatus and method for depositing thin films. The apparatus generally comprises a process chamber having one or more walls and a lid and two heat exchangers. A first heat exchanger is coupled to the walls and a second heat exchanger is coupled to the lid. The two heat exchangers are configured to provide separate temperature control of the walls and lid. Separate control of the lid and wall temperatures inhibits reaction of the organosilane within the lid while optimizing a reaction within the chamber. The apparatus implements a method, in which a process gas comprising ozone and an organosilane are admitted through the into a processing while a substrate is heated to form a carbon-doped silicon oxide layer over the substrate. During deposition, the lid is kept cooler than the walls.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: May 31, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Himansu Pokharna, Li-Qun Xia, Tian H. Lim
  • Patent number: 6890597
    Abstract: A combination of deposition and polishing steps are used to permit improved uniformity of a film after the combination of steps. Both the deposition and polishing are performed with processes that vary across the substrate. The combination of the varying deposition and etching rates results in a film that is substantially planar after the film has been polished. In some instances, it may be easier to control the variation of one of the two processes than the other so that the more controllable process is tailored to accommodate nonuniformities introduced by the less controllable process.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: May 10, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Padmanabhan Krishnaraj, Bruno Geoffrion, Michael S. Cox, Lin Zhang, Bikram Kapoor, Anchuan Wang, Zhenjiang Cui
  • Patent number: 6884464
    Abstract: A silicon comprising film and its method of fabrication is described. The silicon comprising film is grown on a substrate. A hexachlorodisilane (HCD) source gas is one of the reactant species used to form the silicon comprising film. The silicon comprising film is formed under a pressure between 10 Torr and 350 Torr.
    Type: Grant
    Filed: November 4, 2002
    Date of Patent: April 26, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Lee Luo, R. Suryanarayanan Iyer, Janardhanan Anand Subramony, Errol Antonio C. Sanchez, Xiaoliang Jin, Aihua Chen, Chang-Lian Yan, Nobuo Tokai, Yuji Maeda, Randhir P. Singh Thakur
  • Patent number: 6878415
    Abstract: A method is provided for forming a thin film layer of a substrate. The method includes the steps of forming a thin surface layer containing a dopant material on the substrate, and short-time thermal processing of the doped surface layer with processing parameters selected to produce a reaction between the surface layer and the dopant material to form a dielectric film, a metal film or a silicide film. In one embodiment, short-time thermal processing is implemented by flash rapid thermal processing of the doped surface layer. In another embodiment, short-time thermal processing is implemented by sub-melt laser processing of the doped surface layer. The process may be used for forming dielectric layers having a thickness of 50 angstroms or less.
    Type: Grant
    Filed: April 15, 2002
    Date of Patent: April 12, 2005
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Daniel F. Downey
  • Patent number: 6872428
    Abstract: Chemical vapor deposition is performed using a plurality of expanding thermal plasma generating means to produce a coating on a substrate, such as a thermoplastic and especially a polycarbonate substrate. The substrate is preferably moved past the generating means. Included are methods which coat both sides of the substrate or which employ multiple sets of generating means, either in a single deposition chamber or in a plurality of chambers for deposition of successive coatings. The substrate surfaces spaced from the axes of the generating means are preferably heated to promote coating uniformity.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: March 29, 2005
    Assignee: General Electric Company
    Inventors: Barry Lee-Mean Yang, Charles Dominic Iacovangelo, Kenneth Walter Browall, Steven Marc Gasworth, William Arthur Morrison, James Neil Johnson
  • Patent number: 6861104
    Abstract: A method of enhancing adhesion strength of a boro-silicate glass (BSG) film to a silicon nitride film is provided. A semiconductor substrate with a silicon nitride film formed thereon is provided. The silicon nitride film is then exposed to oxygen-containing plasma such as ozone plasma. A thick BSG film is then deposited onto the treated surface of the silicon nitride film. By pre-treating the silicon nitride film with ozone plasma for about 60 seconds, an increase of near 50% of Kapp of the BSG film is obtained.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: March 1, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Chang Wu, Cheng-Yuan Tsai, Yu-Wen Fang, Neng-Hui Yang
  • Patent number: 6852373
    Abstract: A method for depositing a dielectric material on copper apparent on the surface of a structure, by placing the structure in a depositing chamber of CVD type (Chemical Vapor Deposition), adding to the chamber a first gas forming a precursor for the formation of the dielectric material and containing an element able to contaminate copper, adding to the chamber a second gas containing a chemical element intended, together with the element contained in the first gas and able to contaminate copper, to form said dielectric material, the second gas being able to react with the first gas to give the deposit of dielectric material, performing the deposit of dielectric material from the first gas and the second gas, characterized in that the method comprises a step for adding a third gas able to prevent the contamination of copper by said element contained in the first gas.
    Type: Grant
    Filed: July 3, 2000
    Date of Patent: February 8, 2005
    Assignees: Commissariat a l'Energie Atomique, STMicroelectronics SA
    Inventors: Pascale Motte, Joaquim Torres, Brigitte Descouts, Jean Palleau
  • Patent number: 6830786
    Abstract: A silicon oxide film has a ratio of A1 to A2 which is not higher than 0.21, where A1 is a first peak integrated intensity of a first peak belonging to Si—OH and appearing in the vicinity of a wave-number of 970 cm−1, and A2 is a second peak integrated intensity of a second peak belonging to O—Si—O and appearing in the vicinity of a wave-number 820 cm−1, and each of the first and second peak integrated intensities is defined as a product of peak width at half height and a peak height of a Raman spectrum obtained by a Raman scattering spectroscopic analysis of the silicon oxide film. The silicon oxide film is deposited under a condition that a ratio of a first flow rate Fo of oxygen gas to a second flow rate Fsi of a silicon source gas is not lower than 20.
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: December 14, 2004
    Assignee: NEC Corporation
    Inventors: Katsuhisa Yuda, Hiroshi Tanabe
  • Patent number: 6830781
    Abstract: In a known method for producing an SiO2 blank, SiO2 particles are formed in a burner flame assigned to a deposition burner and are deposited under the effect of an electrical field on a deposition surface of a carrier rotating about its longitudinal axis, said at least one deposition burner being reciprocated in a predetermined sequence of movement along the developing blank between turn-around points. Starting from said method, in order to obtain blanks of a predetermined, in particular axially homogeneous, density and mass distribution, it is suggested according to the invention that the geometrical shape of the burner flame should be varied by the electrical field in dependence upon the position of the deposition burner during the sequence of movement.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: December 14, 2004
    Assignee: Heraeus Quarzglas GmbH & Co. KG
    Inventor: Heinz Fabian
  • Publication number: 20040247787
    Abstract: At least one wafer is exposed to a treatment environment in a treatment chamber at a treatment pressure. The backside of the wafer is exposed to a heat transfer gas for thermally coupling the wafer to the support arrangement. Control of the heat transfer gas provides a fixed flow to the support arrangement enabling thermal coupling with the support arrangement. A first portion of the heat transfer gas leaks between the support arrangement and the wafer. Responsive to a backside pressure signal, a second portion of the fixed flow is released in a way which maintains the backside pressure at a selected value. In one feature, effluent flow control is used for controllably releasing the second portion of heat transfer gas. In another feature, the second portion of heat transfer gas is released into the treatment chamber. Dilution control and multi-wafer configurations are described.
    Type: Application
    Filed: March 17, 2004
    Publication date: December 9, 2004
    Inventors: Neil M. Mackie, Martin L. Zucker, Steven C. Selbrede
  • Publication number: 20040228969
    Abstract: A method for making a silicon oxide/silicon nitride/silicon oxide structure includes forming a tunnel oxide layer and a silicon nitride layer over a substrate; annealing the silicon nitride layer; forming a silicon oxide layer over the annealed silicon nitride layer by high temperature low pressure chemical vapor deposition; depositing a first gate layer over the silicon oxide layer; patterning to form a silicon oxide/silicon nitride/silicon oxide (ONO) structure; forming bit lines in the substrate adjacent the ONO structure; and annealing to form a thermal oxide over the bit lines.
    Type: Application
    Filed: May 16, 2003
    Publication date: November 18, 2004
    Applicant: Macronix International Co., Ltd.
    Inventors: Hsian Lan Lung, Ching Tang Wang
  • Patent number: 6818250
    Abstract: Silicon dioxide (SiO2) films are deposited at room temperature using a chemical vapor deposition (CVD) reaction catalyzed by ammonia or a Lewis base. The SiO2 film growth is accomplished through the reaction of water and certain silicon precursors. Examples of these reactions include the SiCl4+2H2O→SiO2+4HCl or Si(OR)4+2H2O→SiO2+4ROH reactions and catalyzed with ammonia (NH3) or other Lewis bases. The NH3 catalyst lowered the required temperature for SiO2 CVD from >900 K to 313-333 K and reduced the SiCl4 and H2O pressures required for efficient SiO2 CVD from several Torr to <500 mTorr.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: November 16, 2004
    Assignee: The Regents of the University of Colorado
    Inventors: Steven M. George, Jason W. Klaus
  • Patent number: 6815007
    Abstract: A method for reducing contaminants in a processing chamber having an inner wall by seasoning the walls. The method comprising the following steps. A first USG film is formed over the processing chamber inner wall. An FSG film is formed over the first USG film. A second USG film is formed over the FSG film. A nitrogen-containing film is formed over the second USG film wherein the first USG film, the FSG film, the second USG film and the nitrogen-containing film comprise a UFUN season film.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: November 9, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming-Hwa Yoo, Shih-Chi Lin, Yi-Lung Cheng, Szu-An Wu, Ying-Lang Wang
  • Patent number: 6815374
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 comprises placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 6808748
    Abstract: A method of depositing a silicon oxide layer over a substrate having a trench formed between adjacent raised surfaces. In one embodiment the silicon oxide layer is formed in a multistep process that includes depositing a first portion of layer over the substrate and within the trench by forming a high density plasma process that has simultaneous deposition and sputtering components from a first process gas comprising a silicon source, an oxygen source and helium and/or molecular hydrogen with high D/S ratio, for example, 10-20 and, thereafter, depositing a second portion of the silicon oxide layer over the substrate and within the trench by forming a high density plasma process that has simultaneous deposition and sputtering components from a second process gas comprising a silicon source, an oxygen source and molecular hydrogen with a lower D/S ratio of, for example, 3-10.
    Type: Grant
    Filed: January 23, 2003
    Date of Patent: October 26, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Bikram Kapoor, M. Ziaul Karim, Anchuan Wang
  • Publication number: 20040197474
    Abstract: Organosilica glass and organic polymeric films useful for electronic devices and methods for making same are disclosed herein. In one embodiment of the present invention, there is provided a method for enhancing the chemical vapor deposition of a film comprising an organic species comprising: providing a substrate within a reaction chamber; introducing into the chamber gaseous chemical reagents comprising an organic precursor having carbon and hydrogen bonds contained therein and a rate enhancer wherein the rate enhancer is at least one member selected from the group consisting of an oxygen-containing compound; a peroxide compound having the formula R1OOR2; a peracid compound having the formula R3C(O)OC(O)R4; a fluorine-containing compound; and a heavy inert gas; and applying energy to the chemical reagents in the reaction chamber sufficient to induce the reaction of the reagents and deposit the film upon at least a portion of the substrate.
    Type: Application
    Filed: April 1, 2003
    Publication date: October 7, 2004
    Inventors: Raymond Nicholas Vrtis, Aaron Scott Lukas, Mark Leonard O'Neill, Jean Louise Vincent, Mark Daniel Bitner, Eugene Joseph Karwacki, Brian Keith Peterson
  • Publication number: 20040194701
    Abstract: A method and apparatus for depositing a dielectric material at a rate of at least 3000 Angstroms per minute on a large area substrate that has a surface area of at least about 0.35 square meters is provided. In one embodiment, the dielectric material is silicon oxide. Also provided is a large area substrate having a layer of dielectric material deposited by a process yielding a deposition rate in excess of about 3000 Angstroms per minute and a processing chamber for fabricating the same.
    Type: Application
    Filed: April 7, 2003
    Publication date: October 7, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Sanjay D. Yadav, Quanyuan Shang, Wendell T. Blonigan
  • Patent number: 6800571
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10-250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organosilane film is produced by reaction of methylsilane, CH3SiH3, or dimethylsilane, (CH3)2SiH2, and nitrous oxide, N2O, at an RF power level from about 10 to 200 W or a pulsed RF power level from about 20 to 250 W during 10-30% of the duty cycle.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: October 5, 2004
    Assignee: Applied Materials Inc.
    Inventors: David Cheung, Wai-Fan Yau, Robert R. Mandal
  • Publication number: 20040185183
    Abstract: In one aspect, the invention includes a method of forming an insulating material comprising: a) providing a substrate within a reaction chamber; b) providing reactants comprising a Si, F and ozone within the reaction chamber; and c) depositing an insulating material comprising fluorine, silicon and oxygen onto the substrate from the reactants. In another aspect, the invention includes a method of forming a boron-doped silicon oxide having Si-F bonds, comprising: a) providing a substrate within a reaction chamber; b) providing reactants comprising Triethoxy fluorosilane, a boron-containing precursor, and ozone within the reaction chamber; and c) depositing a boron-doped silicon oxide having Si—F bonds onto the substrate from the reactants.
    Type: Application
    Filed: January 30, 2004
    Publication date: September 23, 2004
    Inventors: Anand Srinivasan, Gurtej Sandhu, Ravi Iyer
  • Patent number: 6784122
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 comprises placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: August 31, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Publication number: 20040157061
    Abstract: A low refractive index SiO2 film is provided which uses a starting material for forming an SiO2 film and has a lower refractive index than the conventional SiO2 film.
    Type: Application
    Filed: April 9, 2003
    Publication date: August 12, 2004
    Applicant: Dai Nippon Printing Co., Ltd.
    Inventor: Koji Ichimura
  • Patent number: 6767581
    Abstract: A process for the deposition of thin layers by chemical vapor deposition includes adding an effective amount of nitroxyl radicals of the formula to a gas stream including the materials to be deposited. In this formula, R1 and R2 are identical or different alkyl, alkenyl, alkynyl, acyl, or aryl radicals, with or without heteroatoms. R1 and R2 can also together form a structure —CR3R4—CR5R6—CR7R8—CR9R10—CR11R12—, where R3, R4, R5, R6, R7, R8, R9, R10, R11, R12 are again identical or different alkyl, alkenyl, alkynyl, acyl, or aryl radicals, with or without heteroatoms.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: July 27, 2004
    Assignee: Infineon Technologies AG
    Inventor: Annette Saenger
  • Patent number: 6746709
    Abstract: The invention relates to a method for manufacture of a semiconductor component by the formation of a hydrogenous layer containing silicon on a substrate comprising or containing silicon such as a wafer or film. In order to achieve a good surface and volume passivation, it is proposed that during formation of the siliceous layer in the form of SiNxOy with 0<x≦1.5 and 0≦y≦2 one or more catalytically acting dopants are selectively added into the layer which release hydrogen from the SiNxOy layer. The concentration C of the dopants is 1×1014 cm3≦C≦1021 cm3.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: June 8, 2004
    Assignee: RWE Schott Solar GmbH
    Inventors: Thomas Lauinger, Ingo Schwirtlich, Jens Moschner
  • Patent number: 6713127
    Abstract: An oxide and an oxynitride films and their methods of fabrication are described. The oxide or the oxynitride film is grown on a substrate that is placed in a deposition chamber. A silicon source gas (or a silicon source gas with a nitridation source gas) and an oxidation source gas are decomposed in the deposition chamber using a thermal energy source. A silicon oxide (or an oxynitride) film is formed above the substrate wherein total pressure for the deposition chamber is maintained in the range of 50 Torr to 350 Torr and wherein a flow ratio for the silicon source gas (or the silicon source gas with the nitridiation source gas) and the oxidation source gas is in the range of 1:50 to 1:10000 during a deposition process.
    Type: Grant
    Filed: December 28, 2001
    Date of Patent: March 30, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Janardhanan Anand Subramony, Yoshitaka Yokota, Ramaseshan Suryanarayanan Iyer, Lee Luo, Aihua Chen
  • Patent number: 6709721
    Abstract: The present invention provides a method of depositing a carbon doped silicon oxide film having a low dielectric constant (k). A process gas mixture containing at least a carrier gas, an oxidizer, a carbon gas source, or combinations thereof, is supplied adjacent an edge of a substrate though a purge gas inlet in a substrate support to facilitate deposition of low k carbon doped silicon oxide film having a greater concentration of silicon oxide around the edge of the substrate than an inner portion of the substrate.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: March 23, 2004
    Assignee: Applied Materials Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Chen-An Chen, Ellie Yieh, Shankar Venkataraman
  • Publication number: 20040050328
    Abstract: A film-forming system comprising a vacuum chamber and an electroconductive partition plate dividing said vacuum chamber into a plasma generating space provided with a high-frequency electrode and a film-forming treatment space provided with a substrate-retaining mechanism for holding a substrate mounted thereon. A gas for generating desired active species by discharge plasma is introduced into the plasma generating space. Said desired active species are supplied to the film-forming treatment space through a plurality of penetration holes formed in the electroconductive partition plate for communicating the plasma generating space with the film-forming treatment space. Said electroconductive partition plate has a first internal space separated from the plasma generating space and communicating with the film-forming treatment space via a plurality of material gas diffusion holes.
    Type: Application
    Filed: September 16, 2003
    Publication date: March 18, 2004
    Inventors: Akira Kumagai, Keiji Ishibashi, Masahiko Tanaka
  • Publication number: 20040038462
    Abstract: This invention is embodied in an improved process for growing high-quality silicon dioxide layers on silicon by subjecting it to a gaseous mixture of nitrous oxide (N2O) and ozone (O3). The presence of O3 in the oxidizing ambiance greatly enhances the oxidation rate compared to an ambiance in which N2O is the only oxidizing agent. In addition to enhancing the oxidation rate of silicon, it is hypothesized that the presence of O3 interferes with the growth of a thin silicon oxynitride layer near the interface of the silicon dioxide layer and the unreacted silicon surface which makes oxidation in the presence of N2O alone virtually self-limiting. The presence of O3 in the oxidizing ambiance does not impair oxide reliability, as is the case when silicon is oxidized with N2O in the presence of a strong, fluorine-containing oxidizing agent such as NF3 or SF6.
    Type: Application
    Filed: August 18, 2003
    Publication date: February 26, 2004
    Inventors: Gurtej Singh Sandhu, Randhir PS Thakur
  • Publication number: 20040025787
    Abstract: A method for depositing a film onto a substrate is provided. The substrate is contained within a reactor vessel at a pressure of from about 0.1 millitorr to about 100 millitorr. The method comprises subjecting the substrate to a reaction cycle comprising i) supplying to the reactor vessel a gas precursor at a temperature of from about 20° C. to about 150° C. and a vapor pressure of from about 0.1 torr to about 100 torr, wherein the gas precursor comprises at least one organo-metallic compound; and ii) supplying to the reactor vessel a purge gas, an oxidizing gas, or combinations thereof.
    Type: Application
    Filed: April 14, 2003
    Publication date: February 12, 2004
    Inventors: Steven C. Selbrede, Martin Zucker, Vincent Venturo
  • Patent number: 6669990
    Abstract: An atomic layer deposition method which comprises forming a metal oxide thin film by using, as a group IV metal precursor, a complex of a formula M(L)2 in which M is a group IV metal ion having a charge of +4 and L is a tridentate ligand having a charge of −2, the ligand being represented by the following formula (I): wherein each of R1 and R2, independently, is a linear or branched C1-4 alkyl group; and R3 is a linear or branched C1-5 alkylene group. The group IV metal precursor exhibits excellent thermal and chemical stabilities under a carrier gas atmosphere, whereas it has high reactivity with a reaction gas.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: December 30, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yo Sep Min, Dae Sig Kim, Young Jin Cho, Jung Hyun Lee
  • Patent number: 6649219
    Abstract: The invention provides a process for forming a low k fluorine and carbon-containing silicon oxide dielectric material by reacting with an oxidizing agent one or more silanes containing one or more organofluoro silanes having the formula SiR1R2R3R4, where: (a) R1 is selected from H, a 3 to 10 carbon alkyl, and an alkoxy; (b) R2 contains at least one C atom bonded to at least one F atom, and no aliphatic C—H bonds; and (c) R3 and R4 are selected from H, alkyl, alkoxy, a moiety containing at least one C atom bonded to at least one F atom, and ((L)Si(R5)(R6))n(R7); where n ranges from 1 to 10; L is O or CFR8; each n R5 and R6 is selected from H, alkyl, alkoxy, and a moiety containing at least one C atom bonded to at least one F atom; R7 is selected from H, alkyl, alkoxy, and a moiety containing at least one C atom bonded to at least one F atom; and each R8 is selected from H, alkyl, alkoxy, and a moiety containing at least one C atom bonded to at least one F atom.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: November 18, 2003
    Assignee: LSI Logic Corporation
    Inventors: Sheldon Aronowitz, Vladimir Zubkov
  • Patent number: 6649224
    Abstract: A method of applying a coating to a surface of a substrate, comprising the steps of: introducing a substrate into an atmosphere which consists of at least one chemically reactive compound, water and at least one of nitrogen and argon, and thereafter using a corona discharge on the surface of the substrate to form a coating from the reactive compound having an inhibiting effect on the permeability to vapor and/or gas.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: November 18, 2003
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO
    Inventors: Marius Pieter de Goeje, Peter Hillebrand de Haan, Gerardus Titus van Heck
  • Publication number: 20030207033
    Abstract: A showerhead adapted for distributing gases into a process chamber and a method for forming dielectric layers on a substrate are generally provided. In one embodiment, a showerhead for distributing gases in a processing chamber includes an annular body coupled between a disk and a mounting flange. The disk has a plurality of holes formed therethrough. A lip extends from a side of the disk opposite the annular body and away from the mounting flange. The showerhead may be used for the deposition of dielectric materials on a substrate. In one embodiment, silicon nitride and silicon oxide layers are formed on the substrate without removing the substrate from a processing chamber utilizing the showerhead of the present invention.
    Type: Application
    Filed: May 6, 2002
    Publication date: November 6, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Kang Sub Yim, Soovo Sen, Dian Sugiarto, Peter Lee, Ellie Yieh
  • Publication number: 20030207028
    Abstract: The subject of the invention is a glass-, ceramic- or vitroceramic-based substrate (1) provided on at least part of at least one of its faces with a coating (3) with a photocatalytic property containing at least partially crystalline titanium oxide.
    Type: Application
    Filed: April 22, 2003
    Publication date: November 6, 2003
    Applicant: SAINT-GOBAIN GLASS FRANCE
    Inventors: Philippe Boire, Xavier Talpaert
  • Publication number: 20030203654
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 comprises placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Application
    Filed: June 9, 2003
    Publication date: October 30, 2003
    Inventor: Ravi Iyer
  • Publication number: 20030203125
    Abstract: A method for treating a non-planar surface of an object by employing a plasma treatment apparatus in which a microwave dielectric has a non-planar surface corresponding to the surface of the object. A method for forming an optical part is also provided.
    Type: Application
    Filed: June 2, 2003
    Publication date: October 30, 2003
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Goushu Tei, Nobuyoshi Tanaka, Tadahiro Ohmi, Masaki Hirayama
  • Patent number: 6632478
    Abstract: An embodiment of the present invention provides methods for forming a carbon-containing layer having a low dielectric constant and good gap-fill capabilities. A method includes depositing a carbon-containing layer on a substrate and transforming the carbon-containing layer to remove at least some of the carbon. The transforming step may include annealing the carbon-containing layer in a furnace containing a hydrogen atmosphere, for example. The carbon-containing layer may be a carbon-doped silicon oxide material, where the transforming step changes the carbon-doped silicon oxide. Additionally, the method may include subjecting the annealed layer to a hydrogen and/or low oxygen plasma treatment to further remove carbon from the layer. Additionally, a step of adding a capping layer to the annealed, plasma treated material is provided.
    Type: Grant
    Filed: February 22, 2001
    Date of Patent: October 14, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Frederic Gaillard, Li-Qun Xia, Jen Shu, Ellie Yieh, Tian-Hoe Lim
  • Patent number: 6630199
    Abstract: A structure protected by a ceramic coating is prepared by providing a substrate having a surface, and depositing a layer of a sacrificial ceramic precursor material, preferably silica, onto the surface of the substrate. The method further includes furnishing a reactive gas, preferably an aluminum-containing gas, that is reactive with the sacrificial ceramic to produce a protective ceramic different from the sacrificial ceramic, and contacting the reactive gas to the layer of the precursor material to produce a protective ceramic layer.
    Type: Grant
    Filed: November 8, 2000
    Date of Patent: October 7, 2003
    Assignee: General Electric Company
    Inventors: Curtiss Mitchell Austin, Richard John Grylls
  • Publication number: 20030175426
    Abstract: In a method for processing a substrate, a plurality of substrates maintained in a boat are loaded into a cylindrical inner tube disposed in a cylindrical outer tube. A processing gas is supplied into a process room, and thereafter the substrates are batch-processed with the processing gas evacuated through an exhaust path formed between the inner tube and the outer tube, wherein nitrogen gas is supplied to a surface region of the ceiling of the outer tube during a film forming process of the substrates, thereby the processing gas ascended through the process room is prevented from coming into contact with the ceiling of the outer tube by the nitrogen gas covering thereat. Accordingly, products and/or by-products of the film forming gas is prevented from being adhered thereto, thereby formation of contaminants due to the deterioration of the deposition of the products and the by-products thereof can be eliminated/reduced.
    Type: Application
    Filed: March 10, 2003
    Publication date: September 18, 2003
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Wakako Shiratori
  • Patent number: 6616985
    Abstract: An apparatus and method for injecting gas within a plasma reactor and tailoring the distribution of an active species generated by the remote plasma source over the substrate or wafer. The distribution may be uniform, wafer-edge concentrated, or wafer-center concentrated. A contoured plate or profiler modifies the distribution. The profiler is an axially symmetric plate, having a narrow top end and a wider bottom end, shaped to redistribute the gas flow incident upon it. The method for tailoring the distribution of the active species over the substrate includes predetermining the profiler diameter and adjusting the profiler height over the substrate.
    Type: Grant
    Filed: July 2, 2001
    Date of Patent: September 9, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Ronald Allan Powell, Gabriel I. Font-Rodriguez, Simon Selitser, Emerson Derryck Settles
  • Patent number: 6613394
    Abstract: Described is a method of treating or coating homogeneously at least a portion of the surface of a material selected from metallic materials having a thickness of less than 100 &mgr;m and/or polymeric materials. The method of the present invention comprises exposing at least a portion of the surface of the material to an atmospheric plasma generated by an indirect plasmatron. In the method of the present invention, the surface of the material may undergo at least one of an increase in surface tension, a surface grafting, a surface cleaning and a surface sterilization.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: September 2, 2003
    Assignee: Wolff Walsrode AG
    Inventors: Christian Kuckertz, Sven Jacobsen, Rainer Brandt, Klaus Landes, Ralf Hartmann
  • Patent number: 6610354
    Abstract: A plasma display panel including a low k dielectric layer. In one embodiment, the dielectric layer is comprises a fluorine-doped silicon oxide layer such as an SiOF layer. In another embodiment, the dielectric layer comprises a Black Diamond™ layer. In certain embodiments, a capping layer such as SiN or SiON is deposited over the dielectric layer.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: August 26, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Kam S. Law, Quanyuan Shang, Takako Takehara, Taekyung Won, William R. Harshbarger, Dan Maydan
  • Patent number: 6605229
    Abstract: The invention relates to a method for producing an element comprising a substrate and at least one anti-reflection coating with pores. The dimensions of the anti-reflection coating are below the wavelength of visible light or the neighboring spectral ranges. The invention also relates to an element produced according to this method with at least one anti-reflection coating, for example optical lenses, mirrors or other optical components. The optical anti-reflection coating of these elements is essentially improved.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: August 12, 2003
    Assignee: Universitat Konstanz
    Inventors: Ullrich Steiner, Stefan Walheim, Erik Schäffer, Stefan Eggert, Jürgen Mlynek
  • Patent number: 6598610
    Abstract: Thick dielectric films are deposited on a substrate by building up a plurality of layers by PECVD (Plasma Enhanced Chemical Vapor Deposition) in a reactor, each layer having a thickness less than the final thickness of the film to be deposited. The reactor is cleaned between the deposition of each layer. In this way, it is possible to form high quality, optical films.
    Type: Grant
    Filed: February 5, 2001
    Date of Patent: July 29, 2003
    Assignee: DALSA Semiconductor Inc.
    Inventors: Stephane Blain, Sylvie Harrison
  • Patent number: 6589611
    Abstract: The invention encompasses a method for sequentially processing separate sets of wafers within a chamber. Each set is subjected to plasma-enhanced deposition of material within the chamber utilizing a plasma that is primarily inductively coupled. After the plasma-enhanced deposition, and while the set remains within the chamber, the plasma is changed to a primarily capacitively coupled plasma. The cycling of the plasma from primarily inductively coupled to primarily capacitively coupled can increase the ratio of processed wafers to plasma reaction chamber internal sidewall cleanings that can be obtained while maintaining low particle counts on the processed wafers.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: July 8, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Neal R. Rueger
  • Patent number: 6576570
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 comprises placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: June 10, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 6572925
    Abstract: A process is provided for forming a low k fluorine and carbon-containing silicon oxide dielectric material by reacting with an oxidizing agent one or more silanes including one or more organofluoro silanes characterized by the absence of aliphatic C—H bonds. In one embodiment, the process is carried out using a mild oxidizing agent. Also provided is a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material for use in an integrated circuit structure containing silicon atoms bonded to oxygen atoms, silicon atoms bonded to carbon atoms, and carbon atoms bonded to fluorine atoms, where the dielectric material is characterized by the absence of aliphatic C—H bonds and where the dielectric material has a ratio of carbon atoms to silicon atoms of C:Si greater than about 1:3.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: June 3, 2003
    Assignee: LSI Logic Corporation
    Inventors: Vladimir Zubkov, Sheldon Aronowitz