Electron Beam Imaging Patents (Class 430/296)
  • Publication number: 20140322655
    Abstract: A stage apparatus includes first, second, third, and fourth stages arranged along a plane defined by first and second axes orthogonal to each other, each of the first to fourth stages holding an article and being subjected to scanning along the plane, and a controller configured to control the scanning of the first to fourth stages in synchronization such that a pair of the first and second stages and a pair of the third and fourth stages are respectively positioned symmetrically to each other with respect to the first axis and a pair of the first and third stages and a pair of the second and fourth stages are respectively positioned symmetrically to each other with respect to the second axis.
    Type: Application
    Filed: April 28, 2014
    Publication date: October 30, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Toshihiko Nishida, Hideki Ina, Wataru Yamaguchi
  • Publication number: 20140322654
    Abstract: A lithography apparatus for substrate patterning, includes a substrate stage having a reference mark, an optical system irradiating the substrate with the charged particle beam, a first measurement device measuring a position of an alignment mark formed on the substrate, a second measurement device having an optical axis apart from an axis of the optical system by a distance shorter than that of the first measurement device, and measuring a position of the reference mark, a processor obtaining a base line of the first measurement device based on positions of the reference mark respectively measured by the first and second measurement device and a base line of the second measurement device, the position of the reference mark being measured by the second measurement device based on an optical signal obtained via the reference mark with the stage moved.
    Type: Application
    Filed: April 28, 2014
    Publication date: October 30, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Koichi Sentoku, Hideki Ina
  • Publication number: 20140322653
    Abstract: The present invention provides a drawing apparatus for performing drawing on a substrate with a charged particle beam, including a stage configured to hold the substrate and be moved, a charged particle optical system configured to irradiate a plurality of charged particle beams arrayed along a first axis, and a controller configured to control the drawing so as to perform multiple irradiation of a target portion on the substrate with the plurality of charged particle beams, wherein the controller configured to control the drawing such that the stage is moved in one direction along the first axis with respect to a plurality of regions formed on the substrate along the first axis, and a deflection of charged particle beam for a displacement of charged particle beam along the first axis is performed with respect to drawing on each of the plurality of regions.
    Type: Application
    Filed: April 23, 2014
    Publication date: October 30, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Masato MURAKI, Yoshihiro HIRATA
  • Publication number: 20140315131
    Abstract: An aqueous solution containing 0.1-20 wt % of a benzyltrialkylammonium hydroxide is a useful developer for photosensitive resist materials. When an exposed resist film is developed in the developer, any swell of the resist film during development is suppressed. A resist pattern with minimal edge roughness can be formed while preventing pattern collapse or bridge defect formation.
    Type: Application
    Filed: February 28, 2014
    Publication date: October 23, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Patent number: 8865377
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: October 21, 2014
    Assignee: D2S, Inc.
    Inventors: Etienne Jacques, Jin Choi, Kazuyuki Hagiwara
  • Patent number: 8859698
    Abstract: A sulfur-containing macromolecule and a method of preparing the sulfur-containing macromolecule comprising a polymerization step are provided, where the sulfur-containing macromolecule contains internal units of formula (I) and the polymerization step is formula (II) wherein n is greater than 1, said precursor comprises alkyne having one or more acetylene groups and thiol having one or more thiol groups; and R is remainder of said thiol excluding said thiol groups, R? is remainder of said alkyne excluding said acetylene groups, and R and R? are selected from organic or organometallic groups.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: October 14, 2014
    Assignee: The Hong Kong University of Science and Technology
    Inventors: Benzhong Tang, Ka Wai Jim, Anjun Qin, Wing Yip Lam, Jianzhao Liu
  • Publication number: 20140302439
    Abstract: Provided are a method of manufacturing graphene, carbon nanotubes, fullerene, graphite, or a combination thereof having a regulated resistance, and a material manufactured using the method.
    Type: Application
    Filed: October 9, 2013
    Publication date: October 9, 2014
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jeo-young Shim, Tae-han Jeon, Kun-sun Eom, Dong-ho Lee
  • Patent number: 8852849
    Abstract: An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jaw-Jung Shin, Shy-Jay Lin, Wen-Chuan Wang, Burn Jeng Lin
  • Patent number: 8846278
    Abstract: An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jaw-Jung Shin, Shy-Jay Lin, Wen-Chuan Wang, Burn Jeng Lin
  • Patent number: 8841049
    Abstract: The present disclosure provides for many different embodiments of a charged particle beam data storage system and method. In an example, a method includes dividing a design layout into a plurality of units; creating a lookup table that maps each of the plurality of units to its position within the design layout and a data set, wherein the lookup table associates any repeating units in the plurality of units to a same data set; and exposing an energy sensitive layer to a charged particle beam based on the lookup table.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Chun Wang, Pei-Shiang Chen, Tzu-Chin Lin, Faruk Krecinic, Jeng-Horng Chen, Wen-Chun Huang, Ru-Gun Liu
  • Publication number: 20140272707
    Abstract: A sulfonium salt having formula (1a) is provided wherein R1 is H, F, CH3 or CF3, R1a to R1m are each independently H or a monovalent hydrocarbon group, L is a single bond or divalent hydrocarbon group, X is a divalent alkylene group optionally substituted with fluorine, and n is 0 or 1. The sulfonium salt having a polymerizable anion provides for efficient scission of acid labile groups in a chemically amplified resist composition, and it is a very useful monomer from which a base resin for resist use is prepared.
    Type: Application
    Filed: February 4, 2014
    Publication date: September 18, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masahiro Fukushima, Jun Hatakeyama, Masaki Ohashi, Teppei Adachi
  • Publication number: 20140272675
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 18, 2014
    Applicant: D2S, INC.
    Inventors: Etienne Jacques, Jin Choi, Kazuyuki Hagiwara
  • Publication number: 20140272711
    Abstract: A method of fabricating a substrate including coating a first resist onto a hardmask, exposing regions of the first resist to electromagnetic radiation at a dose of 10.0 mJ/cm2 or greater and removing a portion of said the and forming guiding features. The method also includes etching the hardmask to form isolating features in the hardmask, applying a second resist within the isolating features forming regions of the second resist in the hardmask, and exposing regions of the second resist to electromagnetic radiation having a dose of less than 10.0 mJ/cm2 and forming elements.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Inventors: ROBERT L. BRISTOL, PAUL A. NYHUS, CHARLES H. WALLACE
  • Publication number: 20140272712
    Abstract: The present disclosure provides one embodiment of a reflective electron-beam (e-beam) lithography system. The reflective e-beam lithography system includes an e-beam source to generate an e-beam; a digital pattern generator (DPG) having a plurality of pixels that are dynamically and individually controllable to reflect the e-beam; a substrate stage designed to secure a substrate and being operable to move the substrate; an e-beam lens module configured to project the e-beam from the DPG to the substrate; and an alignment gate configured between the e-beam source and the DPG, wherein the alignment gate is operable to modulate an intensity of the e-beam.
    Type: Application
    Filed: May 13, 2013
    Publication date: September 18, 2014
    Inventors: Nan-Hsin Tseng, Ramakrishnan Krishnan
  • Patent number: 8835845
    Abstract: A method for TEM/STEM sample preparation and analysis that can be used in a FIB-electron microscope system without a flip stage. The method allows a dual beam FIB electron microscope system with a typical tilt stage having a maximum tilt of approximately 60° to be used to extract a TEM/STEM sample to from a substrate, mount the sample onto a sample holder, thin the sample using FIB milling, and rotate the sample so that the sample face is perpendicular to a vertical electron beam column for TEM/STEM imaging.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: September 16, 2014
    Assignee: FEI Company
    Inventor: Liang Hong
  • Patent number: 8835881
    Abstract: A writing area of a sample is divided into a plurality of stripes having a width corresponding to an area density of a pattern to be written on the sample with a charged-particle beam. The writing is stopped when writing of at least one stripe is terminated, and a drift amount is measured. An irradiation position of the charged-particle beam is corrected with the use of the drift amount. When the average value of the area density is more than a predetermined value, a stripe has a width smaller than the reference width, and when the average value of the area density is less than the predetermined value, the stripe has a width larger than the reference width. The width of the stripe is preferably a width corresponding to the variation of a drift from the beginning of irradiation with the charged-particle beam.
    Type: Grant
    Filed: June 11, 2013
    Date of Patent: September 16, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Takashi Kamikubo
  • Patent number: 8835083
    Abstract: A manufacturing method of a photomask by which a resist pattern corresponding to a pattern with designed values can be formed, a method for optical proximity correction, and a manufacturing method of a semiconductor device are provided. Proximity design features that are close to each other and estimated to violate a mask rule check are extracted. In the proximity design features, correction prohibited regions where optical proximity correction is not carried out are set based on the distance between the features obtained from the extracted proximity design features and the resolution of an exposure device. Optical proximity correction is carried out on the proximity design features with the correction prohibited regions excluded to obtain corrected proximity patterns. A predetermined mask material is patterned by carrying out electron beam lithography based on the corrected proximity pattern data.
    Type: Grant
    Filed: October 2, 2013
    Date of Patent: September 16, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Ayumi Minamide, Akemi Moniwa, Akira Imai
  • Patent number: 8835868
    Abstract: A multi charged particle beam writing apparatus includes a stage to mount a target object thereon and to be movable, an emission unit to emit a charged particle beam, an aperture member, in which a plurality of openings are formed, to produce multiple beams by letting a region including the whole of a plurality of openings be irradiated with the charged particle beam and letting portions of the charged particle beam respectively pass through a corresponding opening of a plurality of openings, a reduction optical system to reduce the multiple beams, and a doublet lens, arranged at the subsequent stage of the reduction optical system, in which a magnification is 1 and directions of magnetic fluxes are opposite.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: September 16, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Takanao Touya, Munehiro Ogasawara
  • Patent number: 8835082
    Abstract: The present disclosure provides a method for electron-beam (e-beam) lithography patterning. The method includes forming a resist layer on a substrate; performing a first e-beam exposure process to the resist layer according to a first pattern; performing a second e-beam exposure process to the resist layer according to a second pattern, wherein the second patterned is overlapped to the first pattern on the resist layer; and developing the resist layer.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Shiang Chen, Hung-Chun Wang, Jeng-Horng Chen
  • Publication number: 20140255847
    Abstract: A resist overlayer film composition for lithography from which a resist overlayer film is formed. A resist overlayer film forming composition including a benzene compound having at least one amino group. A resist may be an EUV resist or an electron beam resist. The benzene compound may have at least one amino group and at least one alkyl group, one or two amino groups and one to four alkyl groups, or may be a compound of Formula (1): where R1 to R5 are independently a hydrogen atom, a C1-10 alkyl group such as methyl, ethyl or isopropyl, or an amino group.
    Type: Application
    Filed: September 26, 2012
    Publication date: September 11, 2014
    Inventors: Ryuji Ohnishi, Takafumi Endo, Rikimaru Sakamoto
  • Publication number: 20140255849
    Abstract: The present disclosure relates to novel methanofullerene derivatives, negative-type photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for fine pattern processing using, for example, ultraviolet radiation, beyond extreme ultraviolet radiation, extreme ultraviolet radiation, X-rays and charged particle rays. Negative photosensitive compositions are also disclosed.
    Type: Application
    Filed: February 24, 2014
    Publication date: September 11, 2014
    Inventors: Alex Philip Graham Robinson, Jon Andrew Preece, Richard Edward Palmer, Andreas Frommhold, Dongxu Yang, Alexandra McClelland, Drew Athans, Xiang Xue
  • Patent number: 8828628
    Abstract: A method for optical proximity correction (OPC) is disclosed, in which a set of VSB shots is determined, where the set of shots can approximately form a target reticle pattern that is an OPC-compensated version of an input pattern. The set of shots is simulated to create a simulated reticle pattern. A substrate image is calculated, based on using the simulated reticle pattern in an optical lithographic process to form the substrate image. A system for OPC is also disclosed.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: September 9, 2014
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 8828632
    Abstract: A method for fabricating a semiconductor device is disclosed. An exemplary method includes receiving an integrated circuit (IC) layout design including a target pattern on a grid. The method further includes receiving a multiple-grid structure. The multiple-grid structure includes a number of exposure grid segments offset one from the other by an offset amount in a first direction. The method further includes performing a multiple-grid exposure to expose the target pattern on a substrate and thereby form a circuit feature pattern on the substrate. Performing the multiple-grid exposure includes scanning the substrate with the multiple-grid structure in a second direction such that a sub-pixel shift of the exposed target pattern occurs in the first direction, and using a delta time (?t) such that a sub-pixel shift of the exposed target pattern occurs in the second direction.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Publication number: 20140247438
    Abstract: Correction of reticle defects, such as EUV reticle defects, is accomplished with a second exposure. Embodiments include obtaining a reticle with a first pattern corresponding to a design for a wafer pattern, detecting dark defects and/or design/OPC weak spots in the first pattern, exposing a resist covered wafer using the reticle, and exposing the wafer using a second reticle with a second pattern or a second image field with openings corresponding to the dark defects, with a repair pattern on the reticle or on another reticle, or with a programmed e-beam or laser writer.
    Type: Application
    Filed: May 12, 2014
    Publication date: September 4, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Arthur HOTZEL
  • Publication number: 20140248563
    Abstract: A composition includes a polymer component including a first polymer having a first structural unit represented by a following formula (1), and a solvent. In the formula (1), R1 represents a hydrogen atom or a monovalent organic group having 1 to 20 carbon atoms. R2 represents a single bond or a divalent organic group having 1 to 20 carbon atoms. R3 represents a hydrogen atom or a monovalent organic group having 1 to 20 carbon atoms. RQ represents a perfluoroalkyl group having 1 to 5 carbon atoms. RX represents a hydrogen atom or a monovalent base-labile group.
    Type: Application
    Filed: May 9, 2014
    Publication date: September 4, 2014
    Applicant: JSR CORPORATION
    Inventors: Kiyoshi TANAKA, Shinya MINEGISHI, Kazunori KUSABIRAKI, Takahiro HAYAMA
  • Patent number: 8822134
    Abstract: The disclosed resist developer is used when developing by irradiating an energy beam onto a resist layer containing a polymer of ?-chloromethacrylate and ?-methylstyrene for rendering or exposure, and contains a fluorocarbon-containing solvent (A) and an alcohol solvent (B), the latter of which has higher solubility relative to the resist layer than the former.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventors: Hiromasa Iyama, Hideo Kobayashi
  • Patent number: 8822106
    Abstract: The present disclosure provides an embodiment of a method, for a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel size S1 to generate an alternating data grid having a second pixel size S2 that is <S1, wherein the pattern generator includes multiple grid segments configured to offset from each other in a first direction; and scanning the pattern generator in a second direction perpendicular to the first direction during the lithography process such that each subsequent segment of the grid segments is controlled to have a time delay relative to a preceding segment of the grid segments.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8822107
    Abstract: The present disclosure provide one embodiment of a method of a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel area S1 to generate a data grid having a second pixel area S2 that is equal to n2*S1, wherein the pattern generator includes a multi-segment structure having multiple grid segments, wherein the grid segments includes a first set of grid segments and a second set of grid segments, each of the first set of grid segments being configured to have an offset in a first direction; and scanning the pattern generator in a second direction perpendicular to the first direction during the lithography process such that each of the second set of grid segments is controlled to have a time delay.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8822133
    Abstract: A method of forming a pattern comprises diffusing an acid, generated by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer comprising an acid decomposable group and an attachment group, to form an interpolymer crosslink and/or covalently bonded to the surface of the substrate. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region at the surface, in the shape of the pattern. The photosensitive layer is removed to forming a self-assembling layer comprising a block copolymer having a block with an affinity for the polar region, and a block having less affinity than the first. The first block forms a domain aligned to the polar region, and the second block forms a domain aligned to the first. Removing either the first or second domain exposes a portion of the underlayer.
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: September 2, 2014
    Assignees: Rohm and Haas Electronic Materials LLC, Dow Global Technologies LLC
    Inventors: Peter Trefonas, Phillip Dene Hustad, Cynthia Pierre
  • Publication number: 20140242521
    Abstract: A copolymer includes the polymerized product of a comonomer and a monomer having the formula (I): wherein c is 0, 1, 2, 3, 4, or 5; Ra is H, F, —CN, C1-10 alkyl, or C1-10 fluoroalkyl; Rx and Ry are each independently an unsubstituted or substituted C1-10 linear or branched alkyl group, an unsubstituted or substituted C3-10 cycloalkyl group, an unsubstituted or substituted C3-10 alkenylalkyl group, or an unsubstituted or substituted C3-10 alkynylalkyl group; wherein Rx and Ry together optionally form a ring; and Rz is a C6-20 aryl group substituted with an acetal-containing group or a ketal-containing group, or a C3-C20 heteroaryl group substituted with an acetal-containing group or a ketal-containing group, wherein the C6-20 aryl group or the C3-C20 heteroaryl group can, optionally, be further substituted. Also described are a photoresist including the copolymer, a coated substrate having a layer of the photoresist, and a method of forming an electronic device utilizing the photoresist.
    Type: Application
    Filed: February 21, 2014
    Publication date: August 28, 2014
    Inventors: Owendi Ongayi, James W. Thackeray, James F. Cameron
  • Publication number: 20140234980
    Abstract: Devices, such as chips for DNA analysis, have at least one fluid transport nanochannel with at least one intersecting (e.g., transverse) sensing nanochannel that can be monitored for change in ionic current to determine characteristics or parameters of interest, e.g., molecular identification, length determination, localized (probe) mapping and the like.
    Type: Application
    Filed: September 7, 2012
    Publication date: August 21, 2014
    Applicant: The University of North Carolina at Chapel Hill
    Inventors: John Michael Ramsey, Jean Pierre Alarie, Laurent Menard
  • Publication number: 20140227722
    Abstract: Nanosubstrates as biosensors, methods of making such nanosubstrates, and methods of using such nanosubstrates to detect biomarkers are described.
    Type: Application
    Filed: March 7, 2014
    Publication date: August 14, 2014
    Applicant: Northeastern University
    Inventors: Asanterabi Malima, Ahmed Busnaina, Salome Siavoshi, Sivasubramanian Somu, Cihan Yilmaz, Tiziana Musacchio, Jaydev Upponi, Vladimir Torchilin
  • Publication number: 20140227642
    Abstract: A negative resist composition, includes: (A) an alkali-soluble polymer containing a specific repeating unit as defined in the specification; (B) a crosslinking agent capable of crosslinking with the alkali soluble polymer (A) under an action of an acid; (C) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (D) a specific quaternary ammonium salt as defined in the specification; and (E) an organic carboxylic acid, and a pattern forming method uses the composition.
    Type: Application
    Filed: April 17, 2014
    Publication date: August 14, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Koji SHIRAKAWA, Tadateru YATSUO
  • Publication number: 20140212796
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (3) a step of developing the exposed film by using an organic solvent-containing developer, wherein the electron beam-sensitive or extreme ultraviolet-sensitive resin composition contains (A) a resin containing (R) a repeating unit having a structural moiety capable of decomposing upon irradiation with an electron beam or an extreme ultraviolet ray to generate an acid, and (B) a solvent.
    Type: Application
    Filed: March 27, 2014
    Publication date: July 31, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Kaoru IWATO, Hideaki TSUBAKI
  • Publication number: 20140212815
    Abstract: A system includes an integrated circuit (IC) design data base having a feature, a source configured to generate a radiation beam, a pattern generator (PG) including a mirror array plate and an electrode plate disposed over the mirror array plate, wherein the electrode plate includes a lens let having a first dimension and a second dimension perpendicular to the first dimension with the first dimension larger than the second dimension so that the lens let modifies the radiation beam to form the long shaped radiation beam, and a stage configured secured the substrate. The system further includes an electric field generator connecting the minor array plate. The mirror array plate includes a mirror. The mirror absorbs or reflects the radiation beam. The radiation beam includes electron beam or ion beam. The second dimension is equal to a minimum dimension of the feature.
    Type: Application
    Filed: January 31, 2013
    Publication date: July 31, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Publication number: 20140212797
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes: (P) a resin that contains (A) a repeating unit capable of decomposing upon irradiation with an actinic ray or radiation to generate an acid in a side chain of the resin (P) and (C) a repeating unit represented by the following formula (I) as defined in the specification, wherein a polydispersity of the resin (P) is 1.20 or less.
    Type: Application
    Filed: March 28, 2014
    Publication date: July 31, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Takeshi KAWABATA, Hideaki TSUBAKI
  • Patent number: 8790863
    Abstract: In a method for imaging a solid state substrate, a vapor is condensed to an amorphous solid water condensate layer on a surface of a solid state substrate. Then an image of at least a portion of the substrate surface is produced by scanning an electron beam along the substrate surface through the water condensate layer. The water condensate layer integrity is maintained during electron beam scanning to prevent electron-beam contamination from reaching the substrate during electron beam scanning. Then one or more regions of the layer can be locally removed by directing an electron beam at the regions. A material layer can be deposited on top of the water condensate layer and any substrate surface exposed at the one or more regions, and the water condensate layer and regions of the material layer on top of the layer can be removed, leaving a patterned material layer on the substrate.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 29, 2014
    Assignee: President and Fellows of Harvard College
    Inventors: Daniel Branton, Anpan Han, Jene A. Golovchenko
  • Publication number: 20140205951
    Abstract: A thermal crosslinking accelerator of a polysiloxane compound is shown by the following general formula (A-1), wherein R11, R12, R13, and R14 each represents a hydrogen atom, a halogen atom, a linear, a branched, a cyclic alkyl group having 1 to 20 carbon atoms, an optionally substituted aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, wherein some or all of the hydrogen atoms in these groups may be substituted by an alkoxy group. “a”, “b”, “c”, and “d” represent an integer of 0 to 5; in the case that “a”, “b”, “c”, and “d” are 2 or more, R11, R12, R13, and R14 may form a cyclic structure.
    Type: Application
    Filed: December 27, 2013
    Publication date: July 24, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu OGIHARA, Yusuke BIYAJIMA, Hiroyuki URANO
  • Patent number: 8785086
    Abstract: To solve a problem of reduction in accumulated energy due to backward scattering, leading to degradation in CD linearity, which is caused when a generally used high-contrast resist is used in the manufacture of a reflective mask. A reflective mask blank for manufacturing a reflective mask includes a substrate, a multilayer reflective film which is formed on the substrate and adapted to reflect exposure light, and an absorber film which is formed on the multilayer reflective film and adapted to absorb the exposure light. A resist film for electron beam writing is formed on the absorber film and the contrast value ? of the resist film for electron beam writing is 30 or less.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: July 22, 2014
    Assignee: Hoya Corporation
    Inventors: Masahiro Hashimoto, Kazunori Ono, Kenta Tsukagoshi, Tooru Fukui
  • Publication number: 20140199617
    Abstract: A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid and (B) a low molecular weight compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation and decomposing by an action of an acid to decrease a solubility of the low molecular weight compound (B) in an organic solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of developing the film with a developer containing an organic solvent after the exposing to form a negative pattern.
    Type: Application
    Filed: March 27, 2014
    Publication date: July 17, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hideaki TSUBAKI, Hiroo TAKIZAWA, Takeshi KAWABATA
  • Publication number: 20140199629
    Abstract: The present invention provides a sulfonium salt used in a resist composition that can give a pattern having a high resolution, especially an excellent rectangularity of a pattern form and a small roughness, while not readily generating a defect, in the photolithography using a high energy beam as a light source; a resist composition that contains the sulfonium salt; and a patterning process using this resist composition, wherein the sulfonium salt is shown by the following general formula (1a), wherein each of R and R0 independently represents a hydrogen atom, or a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms which may be optionally substituted by a heteroatom or interposed by a heteroatom.
    Type: Application
    Filed: December 6, 2013
    Publication date: July 17, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki OHASHI, Tomohiro KOBAYASHI, Akihiro SEKI, Masayoshi SAGEHASHI, Masahiro FUKUSHIMA
  • Publication number: 20140199631
    Abstract: A polymer for resist use is obtainable from a monomer having formula (1) wherein R1 is H, CH3 or CF3 and R2 is H or an acid labile group. A resist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development.
    Type: Application
    Filed: December 17, 2013
    Publication date: July 17, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masayoshi Sagehashi, Koji Hasegawa, Kazuhiro Katayama
  • Publication number: 20140199630
    Abstract: A sulfonium salt used in a resist composition which gives a pattern having a high resolution, and small roughness in the photolithography using a high energy beam as a light source, and further difficultly eluted in water in the immersion lithography, and a resist composition containing the sulfonium salt, and a patterning process using the resist composition, wherein the sulfonium salt is represented by the following general formula (1a), wherein R represents a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms at least one or more of the hydrogen atoms of which are substituted by a fluorine atom, R0 represents a hydrogen atom, or a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms which may be substituted by a halogen atom, or interposed by a heteroatom.
    Type: Application
    Filed: December 11, 2013
    Publication date: July 17, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki OHASHI, Tomohiro KOBAYASHI, Akihiro SEKI, Masayoshi SAGEHASHI, Masahiro FUKUSHIMA
  • Publication number: 20140199618
    Abstract: One embodiment relates to a method of measuring overlay errors for a programmable pattern, area-imaging electron beam lithography apparatus. Patterned cells of an overlay measurement target array may be printed in swaths such that they are superposed on patterned cells of a first (base) array. In addition, the overlay array may have controlled-exposure areas distributed within the swaths. The superposed cells of the overlay and base arrays are imaged. The overlay errors are then measured based on distortions between the two arrays in the image data. Alternatively, non-imaging methods, such as using scatterometry, may be used. Another embodiment relates to a method for correcting overlay errors for an electron beam lithography apparatus. Overlay errors for a pattern to be printed are determined based on within-swath exposure conditions. The pattern is then pre-distorted to compensate for the overlay errors. Other embodiments, aspects and features are also disclosed.
    Type: Application
    Filed: April 30, 2013
    Publication date: July 17, 2014
    Applicant: KLA-TENCOR CORPORATION
    Inventor: KLA-TENCOR CORPORATION
  • Patent number: 8778590
    Abstract: A lithographic printing plate precursor comprising a coating provided on a support having a hydrophilic surface, the coating containing thermoplastic polymer particles and an IR-dye characterized in that the IR-dye contains a structural element according to Formula I wherein A represents hydrogen, halogen or a monovalent organic group; Y and Y? independently represent —CH— or —N—; R1 and R2 independently represent hydrogen, an optionally substituted alkyl or aryl group or represent the necessary atoms to form a ring; * represents the linking positions to the rest of the molecule.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: July 15, 2014
    Assignee: Agfa Graphics NV
    Inventor: Paul Callant
  • Publication number: 20140193749
    Abstract: A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid, (B) a compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation and (C) a solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of forming a negative pattern by development of the film with a developer containing an organic solvent after the exposing of the film, wherein a content of the compound (B) is 21% by mass to 70% by mass on the basis of all solids content of the composition.
    Type: Application
    Filed: March 13, 2014
    Publication date: July 10, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Hideaki TSUBAKI, Shuji HIRANO
  • Publication number: 20140193301
    Abstract: A method of generating a metamater-operable in the visible-infrared range is provided. The method comprises a) depositing a layer of a conductive material on a substrate; b) forming a layer of electron beam resist on the layer of conductive material; c) patterning the layer of electron beam resist using electron beam lithography to form a patterned substrate; d) depositing a layer of a noble metal on the patterned substrate; and e) removing the resist. A metamaterial operable in the visible-infrared range comprising split-ring resonators having a least line width of about 20 nm to about 40 nm on a substrate is provided. A transparent photonic device or a sensor for chemical or biological sensing comprising the metamaterial is also provided.
    Type: Application
    Filed: June 6, 2012
    Publication date: July 10, 2014
    Applicant: NANYANG TECHNOLOGICAL UNIVERSITY
    Inventors: Qihua Xiong, Xinlong Xu, Jun Zhang
  • Publication number: 20140193754
    Abstract: The present invention relates to novel neutral layer compositions and methods for using the neutral layer compositions for aligning microdomains of directed self-assembling block copolymers (BCP). The compositions and processes are useful for fabrication of electronic devices.
    Type: Application
    Filed: February 14, 2014
    Publication date: July 10, 2014
    Applicant: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Hengpeng WU, Yi CAO, SungEun HONG, Jian YIN, Margareta PAUNESCU, Mark O. NEISSER, Guanyang LIN
  • Patent number: 8772734
    Abstract: A lithograph apparatus that performs writing on a substrate with a plurality of charged particle beams. A blanking deflector array blanks the plurality of charged particle beams. An aperture array blocks n charged particle beam deflected by the blanking deflector array. A sealing mechanism seals an opening or at least one of the blanking deflector array and the aperture array with a shielding material that shields a charged particle beam. A moving mechanism moves the substrate so that the writing is performed with a blankable charged particle beam instead of an unblankable charged particle beam shielded by the shielding material.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: July 8, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Toshiro Yamanaka
  • Patent number: 8771906
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which the union of shots from one of a plurality of exposure passes is different than the union of shots from a different exposure pass. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, in which the union of shots from one of a plurality of charged particle beam exposure passes is different than the union of shots from a different exposure pass.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: July 8, 2014
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura