Including Etching Substrate Patents (Class 430/323)
  • Patent number: 10170591
    Abstract: A method for fabricating a semiconductor device comprises forming a first hardmask, a planarizing layer, and a second hardmask on a substrate. Removing portions of the second hardmask and forming alternating blocks of a first material and a second material over the second hardmask. The blocks of the second material are removed to expose portions of the planarizing layer. Exposed portions of the planarizing layer and the first hardmask are removed to expose portions of the first hardmask. Portions of the first hardmask and portions of the substrate are removed to form a first fin and a second fin. Portions of the substrate are removed to further increase the height of the first fin and substantially remove the second fin. A gate stack is formed over a channel region of the first fin.
    Type: Grant
    Filed: June 10, 2016
    Date of Patent: January 1, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cheng Chi, Fee Li Lie, Chi-Chun Liu, Ruilong Xie
  • Patent number: 10161567
    Abstract: A method of and apparatus for controlling pressure in a process chamber having a continuous gas inlet flow and a continuous gas outlet flow comprising providing a pulsed valve at a gas outlet, a pressure gauge, and a programmable controller and varying the pulse rate of the pulsed valve, wherein either the open time or closed time, or both open and closed times, is lengthened or shortened, depending on whether the gauge pressure is above or below the programmed setpoint.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: December 25, 2018
    Assignee: SPTS Technologies Limited
    Inventor: Daniel J. Vestyck
  • Patent number: 10114291
    Abstract: A method includes forming a first layer over a substrate; forming a patterned photoresist layer over the first layer; applying a solution over the patterned photoresist layer to form a conformal layer over the pattern photoresist layer, wherein the conformal layer further includes a first portion over a top surface of the patterned photoresist layer and second portion extending along sidewalls of the patterned photoresist layer; selectively removing the first portion of the conformal layer formed over the top surface of the patterned photoresist layer; and selectively removing the patterned photoresist layer thereby leaving the second portion of the conformal layer.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: October 30, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ya-Ling Cheng, Ching-Yu Chang, Chien-Chih Chen, Chun-Kuang Chen, Siao-Shan Wang, Wei-Liang Lin
  • Patent number: 10082735
    Abstract: A resist underlayer film-forming composition for lithography having an aliphatic polycyclic structure including, as a silane, a hydrolyzable silane, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a combination thereof, in which the aliphatic polycyclic structure is a structure which a hydrolyzable silane of Formula (1): R1aR2bSi(R3)4-(a+b)??Formula (1) (where R1 is an organic group having an aliphatic polycyclic structure and bonded to a Si atom through a Si—C bond; R3 is an ethoxy group; a is an integer of 1; b is an integer of 0 to 2; and a+b is an integer of 1 to 3) has, or a structure included in a compound added as an aliphatic polycyclic compound, an aliphatic polycyclic dicarboxylic acid, or an aliphatic polycyclic dicarboxylic acid anhydride, each optionally having a double bond, a hydroxy group, or an epoxy group.
    Type: Grant
    Filed: July 10, 2015
    Date of Patent: September 25, 2018
    Assignee: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Wataru Shibayama, Shuhei Shigaki, Makoto Nakajima, Satoshi Takeda, Hiroyuki Wakayama, Rikimaru Sakamoto
  • Patent number: 10068768
    Abstract: Provided is a semiconductor device. The device includes a plurality of line patterns, which extend in a first direction and are arranged a first space apart from one another in a second direction perpendicular to the first direction. The line patterns include a line pattern set including two sub-line patterns that are arranged the first space apart from each other in the second direction and have a first width of a minimum feature size (1F) in the second direction, and a wide-width line pattern that is arranged the first space apart from one side of the line pattern set in the second direction and has a second width larger than the first width in the second direction.
    Type: Grant
    Filed: January 29, 2016
    Date of Patent: September 4, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: In-wook Oh, Jong-hyun Lee
  • Patent number: 10062674
    Abstract: Embodiments are related to scalable surface structure (e.g., a well or other structure) formation in a substrate and, more particularly, to systems and methods for forming displays using a photo-machinable material layer.
    Type: Grant
    Filed: April 28, 2017
    Date of Patent: August 28, 2018
    Assignee: Corning Incorporated
    Inventors: Adam James Ellison, Sean Matthew Garner, Timothy James Kiczenski, Michelle Diane Pierson-Stull
  • Patent number: 10007183
    Abstract: The invention provides a compound for forming an organic film having a partial structure represented by the following formula (ii), wherein the ring structures Ar1, Ar2 and Ar3 each represent a substituted or unsubstituted benzene ring or naphthalene ring; e is 0 or 1; R0 represents a hydrogen atom or a linear, branched or cyclic monovalent organic group having 1 to 30 carbon atoms; L0 represents a linear, branched or cyclic divalent organic group having 1 to 32 carbon atoms; and the methylene group constituting L0 may be substituted by an oxygen atom or a carbonyl group. There can be provided an organic film composition for forming an organic film having high dry etching resistance as well as advanced filling/planarizing characteristics.
    Type: Grant
    Filed: September 16, 2015
    Date of Patent: June 26, 2018
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Seiichiro Tachibana, Daisuke Kori, Tsutomu Ogihara, Takeru Watanabe, Kazumi Noda, Toshiharu Yano
  • Patent number: 9957339
    Abstract: A copolymer is prepared by the polymerization of monomers that include an ultraviolet absorbing monomer, and a base-solubility-enhancing monomer. The copolymer is useful for forming a topcoat layer for electron beam and extreme ultraviolet lithographies. Also described are a layered article including the topcoat layer, and an associated method of forming an electronic device.
    Type: Grant
    Filed: August 7, 2015
    Date of Patent: May 1, 2018
    Assignees: ROHM AND HAAS ELECTRONIC MATERIALS LLC, THE UNIVERSITY OF QUEENSLAND
    Inventors: James W. Thackeray, Ke Du, Peter Trefonas, III, Idriss Blakey, Andrew Keith Whittaker
  • Patent number: 9908831
    Abstract: A resist composition containing a compound represented by the general formula (1) or (2), a method for forming a resist pattern using the composition, a polyphenolic compound for use in the composition, and an alcoholic compound that can be derived therefrom are described.
    Type: Grant
    Filed: January 19, 2016
    Date of Patent: March 6, 2018
    Assignee: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Masatoshi Echigo, Masako Yamakawa
  • Patent number: 9899220
    Abstract: A method for patterning a substrate is disclosed. The method includes applying a first directed self-assembly (DSA) patterning process that defines a first patterned layer on top of the substrate. The pattern of the first patterned layer is to be transferred into the substrate. The method also includes applying a planarizing layer on top of the first patterned layer. The method further includes applying a second DSA patterning process that defines a second patterned layer on top of the planarizing layer, thereby not patterning the planarizing layer. A pattern of the second patterned layer is to be transferred into the substrate. Projections of the pattern of the second patterned layer and the pattern of the first patterned layer on the substrate have no overlap. Additionally, the method includes transferring the patterns defined by the first patterned layer and the second patterned layer into the substrate.
    Type: Grant
    Filed: October 10, 2016
    Date of Patent: February 20, 2018
    Assignees: IMEC VZW, KATHOLIEKE UNIVERSITEIT LEUVEN, KU LEUVEN R&D
    Inventors: Boon Teik Chan, Zheng Tao, Arjun Singh, Jan Doise
  • Patent number: 9824916
    Abstract: A method of forming a mask layout includes forming a layout of a first mask including a lower wiring structure pattern and a dummy lower wiring structure pattern. A layout of a second mask overlapping the first mask and including an upper wiring structure pattern and a dummy upper wiring structure pattern is formed. A layout of a third mask including a first via structure pattern and a first dummy via structure pattern is formed. A layout of a fourth mask including a second via structure pattern and a second dummy via structure pattern is formed. The second via structure pattern may commonly overlap the lower wiring structure pattern and the upper wiring structure pattern, and the second dummy via structure pattern may commonly overlap the dummy lower wiring structure pattern and the dummy upper wiring structure pattern. The fourth mask may overlap the third mask.
    Type: Grant
    Filed: August 29, 2016
    Date of Patent: November 21, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: In-Wook Oh, Jong-Hyun Lee, Sung-Wook Hwang
  • Patent number: 9786511
    Abstract: A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.
    Type: Grant
    Filed: March 11, 2015
    Date of Patent: October 10, 2017
    Assignee: UCHICAGO ARGONNE, LLC
    Inventors: Seth B. Darling, Jeffrey W. Elam, Yu-Chih Tseng, Qing Peng
  • Patent number: 9768022
    Abstract: A lithography method is provided in accordance with some embodiments. The lithography method includes providing a substrate, forming a crosslinked layer over the substrate, wherein the crosslinked layer is in contact with the substrate, forming a patterned layer over the crosslinked layer, forming a pattern in the crosslinked layer and further in the substrate by using the patterned layer as a mask, treating the crosslinked layer by using a radiation source to transition the crosslinked layer to a de-crosslinked layer with a reduced molecular weight, and removing the de-crosslinked layer by using a solution that is not subject to cause damage on the substrate.
    Type: Grant
    Filed: January 27, 2016
    Date of Patent: September 19, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Huei Weng, Chen-Yu Liu, Ching-Yu Chang
  • Patent number: 9726977
    Abstract: Organic coating compositions, particularly antireflective coating compositions, are provided that can be developed with an aqueous alkaline developer, including in a single step during development of an overcoated photoresist layer. Preferred coating compositions comprise a tetrapolymer that comprises at least four distinct functional groups.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: August 8, 2017
    Assignee: GlobalFoundries Inc.
    Inventors: James F. Cameron, Jin Wuk Sung, John P. Amara, Greogory P. Prokopowicz, David A. Valeri, Libor Vyklicky, Wu-Song S. Huang, Wenjie Li, Pushkara R. Varanasi, Irene Y. Popova
  • Patent number: 9721808
    Abstract: Methods of fabricating a semiconductor device are provided. The methods may include forming a stopper layer on a target layer including a cell area and an edge area, forming a hard mask including first upper openings and dam trench on the stopper layer, forming opening spacers on inner walls of the first upper openings and a dam pattern in the dam trench, removing the stopper layer exposed in the first upper openings to form first lower openings, forming pillar patterns in the first lower openings and the first upper openings and an eaves pattern on the dam pattern, removing the hard mask in the cell area, forming a first polymer block between the pillar patterns including second upper openings, etching the stopper layer exposed in the second upper openings to form second lower openings, and removing the first polymer block, the pillar patterns, the dam pattern and the eaves pattern.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: August 1, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dae-Yong Kang, Eunsung Kim, Byungjun Jeon, Joonsoo Park, Soonmok Ha
  • Patent number: 9697931
    Abstract: Provided are a method of preparing a large-area, three-dimensional graphene transparent electrode using an electrospray deposition method and a large-area, three-dimensional graphene transparent electrode prepared therefrom. More particularly, the present invention is related to a method of preparing a large-area, three-dimensional graphene transparent electrode using an electrospray deposition method, which may easily prepare a large-area graphene transparent electrode having high transparency and conductivity through an electrospray process and may obtain effects, which may not be realized in a two-dimensional transparent electrode prepared by a typical method such as CVD, due to a three-dimensional stack structure in which graphene is arranged perpendicular to a substrate, and a large-area, three-dimensional graphene transparent electrode prepared therefrom.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: July 4, 2017
    Assignee: KOREA INSTITUTE OF INDUSTRIAL TECHNOLOGY
    Inventors: Hoon Huh, Woo Sik Kim, Hui Jin Kim, Eun Sung Yoo, Suk Hoon Choi, Ji Young Hwang, Jee Young Jang, Tae Il Kim
  • Patent number: 9665003
    Abstract: A hardmask composition includes a monomer represented by the following Chemical Formula 1, a polymer including a moiety represented by the following Chemical Formula 2, a polymer including a moiety represented by the following Chemical Formula 3, or a combination thereof, and a solvent,
    Type: Grant
    Filed: April 28, 2014
    Date of Patent: May 30, 2017
    Assignee: Cheil Industries, Inc.
    Inventors: Yoo-Jeong Choi, Yun-Jun Kim, Go-Un Kim, Young-Min Kim, Hea-Jung Kim, Joon-Young Moon, Yo-Choul Park, Yu-Shin Park, You-Jung Park, Hyun-Ji Song, Seung-Wook Shin, Yong-Woon Yoon, Chung-Heon Lee, Seung-Hee Hong
  • Patent number: 9570349
    Abstract: A method of an aspect includes forming a directed self assembly alignment promotion layer over a surface of a substrate having a first patterned region and a second patterned region. A first directed self assembly alignment promotion material is formed selectively over the first patterned region without using lithographic patterning. The method also includes forming an assembled layer over the directed self assembly alignment promotion layer by directed self assembly. A plurality of assembled structures are formed that each include predominantly a first type of polymer over the first directed self assembly alignment promotion material. The assembled structures are each adjacently surrounded by predominantly a second different type of polymer over the second patterned region. The first directed self assembly alignment promotion material has a greater chemical affinity for the first type of polymer than for the second different type of polymer.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: February 14, 2017
    Assignee: Intel Corporation
    Inventors: Robert L. Bristol, Rami Hourani, Eungnak Han, James M. Blackwell
  • Patent number: 9551925
    Abstract: A blankmask and a photomask using the same are provided. The blankmask can be useful in preventing the loss in thickness of lateral, top and bottom surfaces of a pattern of a light shielding film or a phase shifting film after the manufacture of the photomask by forming protective film, which has an etch selectivity with respect to a pattern of a hard film or the light shielding film, on the light shielding film or the phase shifting film so that the loss of the phase shifting film formed under the light shielding film or the phase shifting film can be prevented when a process of removing the light shielding film disposed under the hard film or a pattern of the light shielding film is performed during a washing process and a process of removing a pattern of the hard film in a method of manufacturing a photomask, thereby securing uniformity in thickness.
    Type: Grant
    Filed: January 23, 2015
    Date of Patent: January 24, 2017
    Assignee: S&S TECH CO., LTD
    Inventors: Kee-Soo Nam, Chul-Kyu Yang, Geung-Won Kang, Cheol Shin, Jong-Hwa Lee, Min-Ki Choi, Chang-Jun Kim, Kyu-Jin Jang
  • Patent number: 9529257
    Abstract: Disclosed are a polymer represented by the Chemical Formula 1, a monomer represented by the Chemical Formula 2, and a solvent, wherein the monomer is included in the same or a higher amount than the polymer, and a method of forming patterns using the same.
    Type: Grant
    Filed: September 2, 2013
    Date of Patent: December 27, 2016
    Assignee: CHEIL INDUSTRIES, INC.
    Inventors: Chul-Ho Lee, You-Jung Park, Yong-Woon Yoon, Sung-Jae Lee, Youn-Jin Cho, Young-Min Kim, Chung-Heon Lee
  • Patent number: 9530660
    Abstract: Disclosed is a method of forming a target pattern for a semiconductor device using multiple directed self-assembly (DSA) patterning processes. The method includes receiving a substrate and forming a guide pattern over the substrate by performing a process that includes a first DSA process. The method further includes performing a second DSA process over the substrate using the guide pattern. In an embodiment, the first DSA process controls the first pitch of a dense pattern in a first direction and the second DSA process controls the second pitch of the dense pattern in a second direction.
    Type: Grant
    Filed: May 15, 2015
    Date of Patent: December 27, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Yuan Tseng, Chi-Cheng Hung, Chun-Kuang Chen, Kuan-Hsin Lo, Ru-Gun Liu, Tsai-Sheng Gau, Wei-Liang Lin
  • Patent number: 9508560
    Abstract: A method that allows effective removal of a silicon-containing antireflective coating (SiARC) layer in a block mask after defining an unblock area in a sidewall image transfer (SIT) patterning process without causing a height loss of the SIT spacers is provided. The method includes first modifying the SiARC layer with a dry etch utilizing an etching gas comprising a nitrogen gas followed by treating the modified SiARC layer with a wet chemical etch utilizing an aqueous solution including dilute hydrofluoric acid and citric acid.
    Type: Grant
    Filed: June 18, 2015
    Date of Patent: November 29, 2016
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Brown C. Peethala, Shariq Siddiqui
  • Patent number: 9418836
    Abstract: The present invention relates to novel compositions comprising a metal component selected from a group chosen from at least one polyoxometalate, at least one heteropolyoxometalate and a mixture thereof; and, at least one organic component. The present invention also relates to methods of preparing the nanorod arrays and the nanorod materials and films. The present invention also relates to novel compositions to generate metal-oxide rich films, and also relates to processes for via or trench filling, reverse via or trench filling and imaging with underlayers. The materials are useful in wide range of manufacturing applications in many industries, including the semiconductor devices, electro-optical devices and energy storage industry.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: August 16, 2016
    Assignee: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Venkata Gopal Reddy Chada, Huirong Yao, Munirathna Padmanaban, JoonYeon Cho, Elizabeth Wolfer, Alberto D. Dioses, Salem K. Mullen
  • Patent number: 9418888
    Abstract: A method of an aspect includes forming a directed self assembly alignment promotion layer over a surface of a substrate having a first patterned region and a second patterned region. A first directed self assembly alignment promotion material is formed selectively over the first patterned region without using lithographic patterning. The method also includes forming an assembled layer over the directed self assembly alignment promotion layer by directed self assembly. A plurality of assembled structures are formed that each include predominantly a first type of polymer over the first directed self assembly alignment promotion material. The assembled structures are each adjacently surrounded by predominantly a second different type of polymer over the second patterned region. The first directed self assembly alignment promotion material has a greater chemical affinity for the first type of polymer than for the second different type of polymer.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: August 16, 2016
    Assignee: Intel Corporation
    Inventors: Robert L. Bristol, Rami Hourani, Eungnak Han, James M. Blackwell
  • Patent number: 9409793
    Abstract: The present invention relates to a novel spin coatable composition comprising (a) metallosilicic acid; (b) at least one compound comprising two or more 4-hydroxyphenyl groups; and, c) a solvent. The component b) can be a 4-hydroxyphenyl compound of structure (I) wherein W is a linking group chosen from the group consisting of an organic linking moiety, a heteroatom containing linking moiety and a direct valence bond, m is a positive integer of 1 and n is a positive integer equal to 1 or and Ri, Rii, Riii and Riv are independently chosen substituents from a group consisting of hydrogen, (C1-C6) alkyl, (C1-C6) alkoxy, (C6-C20) aryl, halides (such as Cl, I, F), hydroxyl, alkylcarbonyl (alkyl-C(?O)—), alkylcarbonyloxy (alkyl-C(?O)—O—), alkyloxycarbonyl (alkyl-O—C(?O)—), alkyloxycarbonyloxy (alkyl-O—C(?O)—O—) and mixtures of these; and a solvent. The present invention further relates to processes using the novel compositions.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: August 9, 2016
    Assignee: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Venkata Gopal Reddy Chada, Huirong Yao, Salem Mullen, Elizabeth Wolfer, Alberto D. Dioses, JoonYeon Cho, Munirathna Padmanaban
  • Patent number: 9396966
    Abstract: A patterning method and a patterned material layer are provided. After providing a substrate including a material layer, a hard mask layer including trenches extending in a first direction is formed over the material layer. A filling material layer is formed on the hard mask layer to cover the hard mask layer and fills in the trenches. A mask layer in a grid pattern is formed on the filling material layer. The mask layer includes first grid lines extending in the first direction and second grid lines extending in a second direction, and each of the underlying trench is located between two most adjacent first grid lines. The material layer is etched with the mask layer as an etching mask to form a patterned material layer including a plurality of first holes and a plurality of second holes.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: July 19, 2016
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Chin-Cheng Yang
  • Patent number: 9389511
    Abstract: A method for forming patterns of organic polymer materials. The method can be used to form a layer with two patterned organic polymer materials. The photoresist and solvents used in the photoresist deposition and removal steps do not substantially affect the organic polymer materials.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: July 12, 2016
    Assignee: Cornell University
    Inventors: Evan L. Schwartz, Wei Min Chan, Jin-Kyun Lee, Sandip Tiwari, Christopher K. Ober
  • Patent number: 9387567
    Abstract: A cylinder assembly for use in an internal combustion engine is disclosed. The cylinder assembly may include a cylinder, a piston disposed within the cylinder, a plurality of piston rings encircling the piston, and a cylinder liner fitted around the piston in a cylindrical space in which the piston reciprocates. The piston may reciprocate within the cylinder along a longitudinal direction of the cylinder. The cylinder liner may include an upper section, a middle section, and a lower section. The upper section may be composed of a first texture; the middle section may be composed of a second texture that is rougher than the first texture; and the lower section may be composed of a third texture that is smoother than the first texture and the second texture.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: July 12, 2016
    Assignee: Electro-Motive Diesel, Inc.
    Inventors: Edward Cryer, Farhan Ferozali Devani
  • Patent number: 9312220
    Abstract: A circuit device having an interlayer dielectric with pillar-type air gaps and a method of forming the circuit device are disclosed. In an exemplary embodiment, the method comprises receiving a substrate and depositing a first layer over the substrate. A copolymer layer that includes a first constituent polymer and a second constituent polymer is formed over the first layer. The first constituent polymer is selectively removed from the copolymer layer. A first region of the first layer corresponding to the selectively removed first constituent polymer is etched. The etching leaves a second region of the first layer underlying the second constituent polymer unetched. A metallization process is performed on the etched substrate, and the first layer is removed from the second region to form an air gap. The method may further comprise depositing a dielectric material within the etched first region.
    Type: Grant
    Filed: June 24, 2013
    Date of Patent: April 12, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih Wei Lu, Chung-Ju Lee, Tien-I Bao
  • Patent number: 9296195
    Abstract: Disclosed is a method for producing a printing stencil for technical printing for applying a printed pattern to a substrate and to a printing stencil. The method includes supplying a carrier layer, supplying a structure layer, said layer being located beneath the carrier layer, making an elongate printed image opening, corresponding to at least part of the printed pattern, in the structure layer, and making carrier layer openings in the region of the printed image opening. The method uses a laser device designed to emit a laser beam in pulses, and includes making a row of carrier layer openings, extending in the longitudinal direction of the printed image opening, wherein, for making a carrier layer opening, a focusing apparatus is positioned near the carrier layer opening, and the carrier layer opening is by means of one or several laser pulses, and the focusing apparatus of the laser device.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: March 29, 2016
    Assignee: CHRISTIAN KOENEN GmbH
    Inventor: Christian Koenen
  • Patent number: 9244351
    Abstract: A composition for a hardmask including copolymer including repeating units represented by Chemical Formulae 1 and 2 and a solvent, a method of forming a pattern using the same, and a semiconductor integrated circuit device including a pattern formed using the method are provided.
    Type: Grant
    Filed: November 23, 2012
    Date of Patent: January 26, 2016
    Assignee: CHEIL INDUSTRIES, INC.
    Inventors: Sung-Jae Lee, Joon-Young Moon, Youn-Jin Cho, Young-Min Kim, Yong-Woon Yoon
  • Patent number: 9207535
    Abstract: The present invention provides a method for producing a resist composition used in a process for producing a semiconductor apparatus, the method including the steps of: cleaning an apparatus for producing the resist composition with a cleaning liquid; applying the cleaning liquid on an evaluation substrate by spin-coating after removing the cleaning liquid from the apparatus for producing the resist composition; repeating the step of cleaning and the step of applying until the change in the density of defects having a size of 100 nm or more on the evaluation substrate between before and after the application of the cleaning liquid becomes 0.2/cm2 or less; and producing the resist composition by using the apparatus for producing the resist composition after the step of repeating. There can be provided a method for producing a resist composition capable of producing a resist composition whose coating defects are reduced.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: December 8, 2015
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu Ogihara, Yusuke Biyajima, Motoaki Iwabuchi
  • Patent number: 9201301
    Abstract: Provided by the present invention is a method for producing a resist composition, especially a silicon-containing resist underlayer film composition, with fewer film defects, the composition used in immersion exposure, double patterning, development by an organic solvent, and so forth. Specifically, provided is a method for producing a resist composition to be used for manufacturing a semiconductor device, wherein the resist composition is filtered using a filter which filters through 5 mg or less of an eluate per unit surface area (m2) in an extraction using an organic solvent.
    Type: Grant
    Filed: April 29, 2014
    Date of Patent: December 1, 2015
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu Ogihara, Yusuke Biyajima, Motoaki Iwabuchi, Taku Morisawa
  • Patent number: 9165884
    Abstract: A substrate having a first region and second regions disposed on two sides of the first region; a first group of conductive lines extending from the first region to the second regions on the substrate; a second group of conductive lines alternating with the first group of times and extending from the first region to the second regions on the substrate; interlayer insulating layers formed over the substrate; insulating layers formed in first open regions of the interlayer insulating layers and the first group of conductive lines in the second region; and contact plugs contacting second group of conductive line formed in second open regions of the interlayer insulating layer in the second region.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: October 20, 2015
    Assignee: SK Hynix Inc.
    Inventors: Mi-Hye Kim, Byung-Sub Nam
  • Patent number: 9152051
    Abstract: The present invention relates to an absorbing hard mask antireflective coating composition comprising a novel polymer, where the novel polymer comprises in the backbone of the polymer four repeat units -A-, -B-, -C- and -D-, where A is repeat unit which comprises a fused aromatic ring in its backbone, B has the structure (1), C is a hydroxylbiphenyl of structure (2) and D is a derivatized fluorene of structure (3), where R1 is C1-C4alkyl, R2 is C1-C4alkyl, R3 and R4 are independently hydrogen or C1-C4 alkyl, and Ar? and Ar? are independently phenylenic, or naphthalenic derived moieties, R5 and R6 are independently —OH or —(CH2)nOH where n=2-4, and R7 and R8 are independently hydrogen or C1-C4 alkyl. This invention also relates to a process for forming an image using the novel antireflective coating composition.
    Type: Grant
    Filed: June 13, 2013
    Date of Patent: October 6, 2015
    Assignee: AZ ELECTRONICS MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: M. Dalil Rahman, Clement Anyadiegwu, Douglas McKenzie, Takanori Kudo, Elizabeth Wolfer, Salem K. Mullen
  • Patent number: 9134611
    Abstract: A composition for forming a resist underlayer film includes a polymer having a repeating unit represented by a following formula (1), and a solvent. R1 represents a hydroxy group, or the like. n is an integer of 0 to 5. X represents a divalent hydrocarbon group having 1 to 20 carbon atoms or an alkanediyloxy group having 1 to 20 carbon atoms. m is an integer of 1 to 7. A sum of m and n is no greater than 7. R2 represents a single bond or an alkanediyl group having 1 to 4 carbon atoms. R3 represents an alicyclic group having 4 to 20 carbon atoms or an aryl group having 6 to 30 carbon atoms. A part or all of hydrogen atoms included in the alicyclic group or the aryl group represented by R3 are unsubstituted or substituted.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: September 15, 2015
    Assignee: JSR CORPORATION
    Inventors: Shin-ya Nakafuji, Shin-ya Minegishi, Takanori Nakano
  • Patent number: 9116429
    Abstract: A resist underlayer polymer, a resist underlayer composition including the same, and a method of patterning using the same, the resist underlayer polymer including a repeating unit represented by at least one of Chemical Formula 1 and Chemical Formula 2:
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: August 25, 2015
    Assignee: CHEIL INDUSTRIES, INC.
    Inventors: Jee-Yun Song, Hwan-Sung Cheon, Sung-Wook Cho, Kyong-Ho Yoon, Min-Soo Kim, Seung-Bae Oh
  • Patent number: 9116086
    Abstract: A method for depositing a protective layer of material on a localized area on a substrate, such as a pattern of photo resist, includes forming a controlled environment around the substrate and positioning a hollow needle adjacent to the localized area on the substrate. A liquid comprising the material is directed through the hollow needle onto the localized area, so as to deposit a layer of the material on the localized area. The layer of material may act as a Z-contrast forming layer in TEM.
    Type: Grant
    Filed: June 16, 2010
    Date of Patent: August 25, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Bartolomeus Petrus Rijpers, Jurriaan Hendrik Koenraad Van Schaik
  • Patent number: 9104112
    Abstract: Provided is a mask blank that is improved in adhesion of a thin film for forming a transfer pattern to a resist, thus capable of suppressing the occurrence of collapse, chipping, or the like of a formed resist pattern. The mask blank has, on a transparent substrate 1, a thin film 2 which is for forming a transfer pattern and is made of a material containing a metal. The thin film 2 has a surface modified layer in the form of an oxide film containing a hydrocarbon. The surface modified layer of the thin film 2 can be formed by, for example, causing a highly concentrated ozone gas and an unsaturated hydrocarbon gas to act on the thin film.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: August 11, 2015
    Assignee: HOYA CORPORATION
    Inventors: Kazuya Sakai, Masahiro Hashimoto, Takeyuki Yamada
  • Patent number: 9093500
    Abstract: A bowing control pattern is formed on an intermediate layer. A hardmask pattern is formed on the bowing control layer. The hardmask pattern has a first opening, and the bowing control pattern has a second opening. A third opening passes through the intermediate layer and is connected to the second opening. The bowing control pattern includes first and second edges on a lower end of the second opening, and a third edge on an upper end of the second opening. When a first point on the first edge, a second point on the second edge, and a third point on a horizontal line passing through the third edge are defined, an intersecting angle between a first side from the first point to the second point, and a second side from the second point to the third point is from about 50° to about 80°.
    Type: Grant
    Filed: April 8, 2014
    Date of Patent: July 28, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hong Park, Min-Joon Park, Jun-Ho Yoon, Gyung-Jin Min, Jin-Young Park, Je-Woo Han
  • Patent number: 9090119
    Abstract: A pattern-forming method includes forming a resist underlayer film on a substrate using a resist underlayer film-forming composition. The resist underlayer film-forming composition includes a base component, and a crosslinking agent. A content of hydrogen atom in the resist underlayer film is from 0 to 50 atom %. The crosslinking agent has a partial structure represented by a following general formula (i). X represents an oxygen atom, a sulfur atom, or —NR—. R represents a hydrogen atom, an alkyl group having 1 to 9 carbon atoms, or an aryl group having 6 to 30 carbon atoms. n1 is an integer from 1 to 6. R1 represents a hydrogen atom, an alkyl group having 1 to 9 carbon atoms, or an aryl group having 6 to 30 carbon atoms.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: July 28, 2015
    Assignee: JSR CORPORATION
    Inventors: Shin-ya Minegishi, Shin-ya Nakafuji, Takanori Nakano
  • Patent number: 9087783
    Abstract: A hard mask formed above a gate film is patterned with a first mask pattern, the patterned hard mask film is processed into a gate pattern with a second mask pattern, the gate film is patterned with the hard mask film as a mask, a spacer insulating film is formed, a third mask pattern covering an edges of the gate pattern is formed above the spacer insulating film, the spacer insulating film is etched with the third mask pattern as a mask, and a sidewall insulating film is formed on side walls of the gate film leaving the spacer insulating film in a region of the edge of the gate pattern.
    Type: Grant
    Filed: October 29, 2013
    Date of Patent: July 21, 2015
    Assignee: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Masatoshi Fukuda
  • Patent number: 9086632
    Abstract: A method for fine pattern structures includes forming a pattern formation layer over a first region and a second region of a substrate, forming a first block co-polymer layer in the first region, forming a second block co-polymer layer in the second region, etching the first and second block co-polymer layers, and forming the fine pattern structure in the pattern formation layer in the first region without forming a pattern in the pattern formation layer in the second region.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: July 21, 2015
    Assignee: SK HYNIX INC.
    Inventors: Jung Hyung Lee, Cheol Kyu Bok, Keun Do Ban, Myoung Soo Kim, Ki Lyoung Lee
  • Patent number: 9079216
    Abstract: The embodiments disclose a method of fabricating servo integrated templates including depositing a protective layer on servo zone resist layer patterns, patterning integrated data zone features into a substrate, depositing a protective layer on data zones and removing the servo zone protective layer and patterning integrated servo zone features into the substrate and removing the data zone protective layer creating a substrate template used in fabricating data and servo zone integrated patterned stacks.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: July 14, 2015
    Assignee: Seagate Technology LLC
    Inventors: Shuaigang Xiao, XiaoMin Yang, Yautzong Hsu, HongYing Wang, Kim Lee
  • Patent number: 9082718
    Abstract: Various embodiments are directed to fine pattern structures, such as fine pattern structures having block co-polymer materials, methods of forming fine pattern structures with block co-polymer materials, and methods of fabricating semiconductor devices including fine pattern structures with block co-polymer materials. According to some embodiments, a method of fabricating a fine pattern structure includes providing a layer of alternating protrusion portions and recess portions, forming polymer patterns in recess regions formed in the recess portions, forming brush patterns on top surfaces of the protrusion portions, forming first polymer block patterns on the brush patterns and second polymer block patterns on the polymer patterns, and removing the second polymer block patterns and the polymer patterns.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: July 14, 2015
    Assignee: SK HYNIX INC.
    Inventors: Keun Do Ban, Cheol Kyu Bok, Myoung Soo Kim, Jung Gun Heo
  • Patent number: 9076658
    Abstract: A metal film liftoff process includes applying a polymer layer onto a silicon substrate, applying a germanium layer over the polymer layer to create a bilayer lift off mask, applying a patterned photoresist layer over the germanium layer, removing an exposed portion of the germanium layer, removing the photoresist layer and a portion of the polymer layer to expose a portion of the substrate and create an overhanging structure of the germanium layer, depositing a metal film over the exposed portion of the substrate and the germanium layer, and removing the polymer and germanium layers along with the overlaying metal film.
    Type: Grant
    Filed: September 25, 2014
    Date of Patent: July 7, 2015
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Ari D. Brown, Amil A. Patel
  • Patent number: 9050394
    Abstract: The invention relates to methods and apparatus for manufacturing medical devices wherein the medical device has a surface treated to promote the migration of cells onto the surface of the medical device. In particular, the surface of the medical device has at least one topographical feature formed therein.
    Type: Grant
    Filed: October 18, 2012
    Date of Patent: June 9, 2015
    Assignee: Palmaz Scientific, Inc.
    Inventors: Scott P. Carpenter, Armando Garza, Julio C. Palmaz
  • Patent number: 9040232
    Abstract: Provided by the present invention is a method including: (1) forming a resist underlayer film on the upper face side of a substrate to be processed using a composition for forming a resist underlayer film, the composition containing (A) a compound having a group represented by the following formula (1); (2) forming a resist coating film by applying a resist composition on the resist underlayer film; (3) exposing the resist coating film by selectively irradiating the resist coating film with a radiation; (4) forming a resist pattern by developing the exposed resist coating film; and (5) forming a predetermined pattern on the substrate to be processed by sequentially dry etching the resist underlayer film and the substrate using the resist pattern as a mask.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: May 26, 2015
    Assignee: JSR CORPORATION
    Inventors: Shin-ya Minegishi, Shin-ya Nakafuji, Satoru Murakami, Toru Kimura
  • Patent number: 9034736
    Abstract: The present invention provides a method of patterning an electronic or photonic material on a substrate comprising: forming a film of said electronic or photonic material on said substrate; and using a fluoropolymer to protect regions of said electronic or photonic material during a patterning process.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: May 19, 2015
    Assignee: Cambridge Enterprise Limited
    Inventors: Henning Sirringhaus, Jui-Fen Chang, Michael Gwinner
  • Patent number: 9034564
    Abstract: Disclosed are methods for making read sensors using developable bottom anti-reflective coating and amorphous carbon (a-C) layers as junction milling masks. The methods described herein provide an excellent chemical mechanical polishing or planarization (CMP) stop, and improve control in reader critical physical parameters, shield to shield spacing (SSS) and free layer track width (FLTW).
    Type: Grant
    Filed: November 12, 2013
    Date of Patent: May 19, 2015
    Assignee: Western Digital (Fremont), LLC
    Inventors: Wei Gao, Miao Wang, Hai Sun, Ming Mao, Tong Zhao