Removal Of Imaged Layers Patents (Class 430/329)
  • Patent number: 11769662
    Abstract: Embodiments are directed to a method for minimizing electrostatic charges in a semiconductor substrate. The method includes depositing photoresist on a semiconductor substrate to form a photoresist layer on the semiconductor substrate. The photoresist layer is exposed to radiation. The photoresist layer is developed using a developer solution. The semiconductor substrate is cleaned with a first cleaning liquid to wash the developer solution from the photoresist layer. A tetramethylammonium hydroxide (TMAH) solution is applied to the semiconductor substrate to reduce charges accumulated in the semiconductor substrate.
    Type: Grant
    Filed: March 19, 2021
    Date of Patent: September 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wei-Lin Chang, Chih-Chien Wang, Chihy-Yuan Cheng, Sz-Fan Chen, Chien-Hung Lin, Chun-Chang Chen, Ching-Sen Kuo, Feng-Jia Shiu
  • Patent number: 11756774
    Abstract: A plasma processing apparatus includes: a processing container in which a mounting stage mounted with a substrate is provided and a plasma process is performed on the substrate; an exhaust passage which is provided around the mounting stage and through which a gas containing a by-product released by the plasma process flows; and a first adsorption member which is arranged along an inner wall surface of the exhaust passage and of which a surface is roughened to adsorb the by-product.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: September 12, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshimasa Kobayashi, Kazuki Takahashi
  • Patent number: 11257674
    Abstract: Methods and apparatuses for performing cycles of aspect ratio dependent deposition and aspect ratio independent etching on lithographically patterned substrates are described herein. Methods are suitable for reducing variation of feature depths and/or aspect ratios between features formed and partially formed by lithography, some partially formed features being partially formed due to stochastic effects. Methods and apparatuses are suitable for processing a substrate having a photoresist after extreme ultraviolet lithography. Some methods involve cycles of deposition by plasma enhanced chemical vapor deposition and directional etching by atomic layer etching.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: February 22, 2022
    Assignee: Lam Research Corporation
    Inventors: Nader Shamma, Richard Wise, Jengyi Yu, Samantha Tan
  • Patent number: 11046910
    Abstract: Provided is a cleaning solution composition which, when cleaning the surface of a semiconductor substrate or glass substrate, does not damage SiO2, Si3N4, Si, and the like forming a layer on the substrate surface, can be used under processing conditions applicable to a brush scrub cleaning chamber equipped with a CMP apparatus, and can efficiently remove compounds derived from abrasive particles in a slurry. This cleaning solution composition for cleaning the surface of a semiconductor substrate or glass substrate contains: one or two or more fluorine atom-containing inorganic acids or salts thereof; water; one or two or more reducing agents; and one or two or more anionic surfactants, and has a hydrogen ion concentration (pH) of less than 7.
    Type: Grant
    Filed: March 5, 2018
    Date of Patent: June 29, 2021
    Assignee: KANTO KAGAKU KABUSHIKI KAISHA
    Inventors: Yumiko Taniguchi, Areji Takanaka, Takuo Ohwada
  • Patent number: 10903319
    Abstract: Embodiments of the disclosed technology include patterning a graphene sheet for biosensor and electronic applications using lithographic patterning techniques. More specifically, the present disclosure is directed towards the method of patterning a graphene sheet with a hard mask metal layer. The hard mask metal layer may include an inert metal, which may protect the graphene sheet from being contaminated or damaged during the patterning process.
    Type: Grant
    Filed: June 14, 2017
    Date of Patent: January 26, 2021
    Assignee: Nanomedical Diagnostics, Inc.
    Inventors: Deng Pan, Brett Goldsmith
  • Patent number: 10395928
    Abstract: Embodiments of the disclosed technology include depositing a passivation layer onto a surface of a wafer that may include a graphene layer. The passivation layer may protect and isolate the graphene layer from electrical and chemical conditions that may damage the graphene layer. As such, the passivation layer may further protect the graphene sensor from being damaged and impaired for its intended use. Additionally, the passivation layer may be patterned to expose select areas of the graphene layer below the passivation layer, thus creating graphene wells and exposing the graphene layer to the appropriate chemicals and solutions.
    Type: Grant
    Filed: June 14, 2017
    Date of Patent: August 27, 2019
    Assignee: Nanomedical Diagnostics, Inc.
    Inventors: Deng Pan, Brett Goldsmith, Mitchell Lerner
  • Patent number: 10175526
    Abstract: A method of manufacturing a linear grid on a substrate to form a linear grid pattern of a display panel, the method including: laminating a negative photoresist layer having a linear grid pattern on a first area of a substrate, said substrate including a pattern forming layer disposed thereon; laminating a positive photoresist layer having a linear grid pattern on a second area of the substrate and overlapping at least a portion of the negative photoresist layer of the first area; covering the second area with a mask and exposing the first area; and forming a linear grid pattern by removing the mask and etching the pattern forming layer.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: January 8, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Dong-hwan Kim
  • Patent number: 9645497
    Abstract: A method for lithography patterning includes providing a substrate; forming a material layer over the substrate; exposing the material layer to a radiation, resulting in an exposed material layer; and removing a portion of the exposed material layer in a developer, resulting in a patterned material layer. The developer is an alkaline aqueous solution having an organic base that is a quaternary ammonium hydroxide. In an embodiment, the organic base has a bulky group in its side chain, reducing its etching distance. In another embodiment, the organic base includes electron withdrawing groups, reducing its basicity. In yet another embodiment, the developer has a loading of the quaternary amine ranging from about 0.01% to about 2.37%. The developer results in reduced line edge roughness and reduced line width roughness in the patterned material layer.
    Type: Grant
    Filed: October 31, 2014
    Date of Patent: May 9, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lilin Chang, Ching-Yu Chang
  • Patent number: 9632416
    Abstract: In a resist pattern forming process, a rinse solution comprising (A) a heat/acid-decomposable polymer and (B) water is effective. The pattern forming process using the rinse solution is successful in forming fine feature size patterns while minimizing the occurrence of pattern collapse. High-throughput processing is possible.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: April 25, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Daisuke Kori, Tsutomu Ogihara
  • Patent number: 9620383
    Abstract: Techniques disclosed herein include methods and systems for clearing out films or materials that may be covering alignment marks on substrates such as semiconductor wafers. Such films include photoresist layers, polymer films, thin films, and other layers that may be opaque or semi-opaque to optical alignment systems. A solvent composition is printed directly on resist films or other patterning films at specified points or regions on a substrate. The solvent composition printed or deposited on a resist film then begins to dissolve portions of the resist film that are directly underneath the solvent composition. The solvent composition and dissolved film material is then removed or washed from the substrate without causing other portions of the resist film to be dissolved, thereby uncovering alignment patterns or marks.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: April 11, 2017
    Assignee: Tokyo Electron Limited
    Inventor: Anton J. deVilliers
  • Patent number: 9568830
    Abstract: Provided are a thinner composition for improving coating property of a resist and for removing the same, which includes 10 to 80 wt. % of alkyl (with 1 to 5 carbon atoms) 2-hydroxyisobutyrate, 20 to 90 wt. % of propyleneglycol alkyl (with 1 to 5 carbon atoms)ether acetate, and 10 to 1000 ppm of a surfactant represented by Formula 1 to a total weight of the alkyl 2-hydroxyisobutyrate and propyleneglycol alkylether acetate, so as to achieve excellent solubility to various photoresists and bottom anti-reflective coatings (BARC) and high edge bead removing (EBR) performance, possibly be employed in a process for recycling photoresist-coated wafers, and remarkably improve coating property of the photoresist, and a method for manufacturing a semiconductor device or a thin film transistor liquid crystal display device including removal of the photoresist by using the above-described thinner composition.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: February 14, 2017
    Assignee: DONGWOO FINE-CHEM CO., LTD.
    Inventors: Jeong Hwan Kim, Kyong Ho Lee, In Kak Song
  • Patent number: 9403236
    Abstract: A method for selectively removing portions of a protective coating from a substrate, such as an electronic device, includes removing portions of the protective coating from the substrate. The removal process may include cutting the protective coating at specific locations, then removing desired portions of the protective coating from the substrate, or it may include ablating the portions of the protective coating that are to be removed. Coating and removal systems are also disclosed.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: August 2, 2016
    Assignee: HZO, Inc.
    Inventors: David James Astle, Tyler Christensen Child, Vimal Kumar Kasagani, Cameron LaMar Loose, Blake LeRoy Stevens, Max Ernest Sorenson
  • Patent number: 9388371
    Abstract: An electrolytic copper foil includes a copper foil body; and a IIA-group metal adhered to a surface of the copper foil body, wherein a signal strength of the IIA group metal is greater than 0.1% based on a signal strength of copper element as 100% analyzed by a secondary ion mass spectrometer. The present invention also provides a method for cleaning copper foil and a cleaning fluid composition which is used in the cleaning method.
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: July 12, 2016
    Assignee: Chang Chun Petrochemical Co., Ltd.
    Inventors: Kuei-Sen Cheng, Yao-Sheng Lai, Tsang-Jin Juo, Jui-Chang Chou, Hsi-Hsing Lo, Yueh-Min Liu
  • Patent number: 9291905
    Abstract: A developing solution for photolithography in which tetrabutylammonium hydroxide (TBAH) is used as an alkaline agent of the developing solution and deposition of TBAH is suppressed. A method for producing a developing solution for photolithography capable of suppressing TBAH deposition when producing the developing solution by diluting a concentrated developing solution containing TBAH and a production apparatus used for the production method. The developing solution includes tetrabutylammonium hydroxide and at least one of a water-soluble organic solvent, a surfactant, and a clathrate compound. The temperature of the liquid is maintained at 27° C. or higher during dilution.
    Type: Grant
    Filed: February 19, 2015
    Date of Patent: March 22, 2016
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoya Kumagai, Naohisa Ueno, Jun Koshiyama
  • Patent number: 9164392
    Abstract: An aqueous solution containing 0.1-20 wt % of a benzyltrialkylammonium hydroxide is a useful developer for photosensitive resist materials. When an exposed resist film is developed in the developer, any swell of the resist film during development is suppressed. A resist pattern with minimal edge roughness can be formed while preventing pattern collapse or bridge defect formation.
    Type: Grant
    Filed: February 28, 2014
    Date of Patent: October 20, 2015
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Patent number: 9147556
    Abstract: A plasma processing method includes an etching process of etching an insulating film formed on a processing target object in a chamber by plasma of a first fluorine-containing gas with a TiN film having a preset pattern as a mask; a modifying process of modifying, between a carbon-containing film and a Ti-containing film adhering to a component within the chamber, a surface of the Ti-containing film by plasma of an oxygen-containing gas while removing the carbon-containing film by the plasma of the oxygen-containing gas, after the etching process; a first removing process of removing a TiO film, which is obtained by modifying the surface of the Ti-containing film, by plasma of a second fluorine-containing gas; and a second removing process of removing a residual film of the Ti-containing film, which is exposed by removing the TiO film, from the component within the chamber by plasma of a chlorine-containing gas.
    Type: Grant
    Filed: April 3, 2014
    Date of Patent: September 29, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Shunichi Mikami
  • Patent number: 9136104
    Abstract: A silicon wafer after being cleaned by using a cleaning liquid is rinsed by using carbonic water. According to such a silicon wafer cleaning method, generation of static due to a rinsing treatment is not caused, so that an electrostatic breakdown is not caused, adhesion of dirt to a cleaned silicon wafer surface due to the static is not caused, adhesion of metal impurities can be prevented in the rinsing treatment of the silicon wafer and, while giving consideration to the cost, furthermore, a rinsing treatment using a clean rinsing liquid free from causing any residue can be performed.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: September 15, 2015
    Assignee: KURITA WATER INDUSTRIES LTD.
    Inventors: Takaaki Chuuman, Takahiro Kawakatsu, Katsunobu Kitami, Hiroshi Morita
  • Patent number: 9040225
    Abstract: The present invention relates to a developable bottom antireflective coating (BARC) composition and a pattern forming method using the BARC composition. The BARC composition includes a first polymer having a first carboxylic acid moiety, a hydroxy-containing alicyclic moiety, and a first chromophore moiety; a second polymer having a second carboxylic acid moiety, a hydroxy-containing acyclic moiety, and a second chromophore moiety; a crosslinking agent; and a radiation sensitive acid generator. The first and second chromophore moieties each absorb light at a wavelength from 100 nm to 400 nm. In the patterning forming method, a photoresist layer is formed over a BARC layer of the BARC composition. After exposure, unexposed regions of the photoresist layer and the BARC layer are selectively removed by a developer to form a patterned structure in the photoresist layer. The BARC composition and the pattern forming method are especially useful for implanting levels.
    Type: Grant
    Filed: October 30, 2014
    Date of Patent: May 26, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Ranee W. Kwong, Sen Liu
  • Patent number: 9023582
    Abstract: A photosensitive polymer includes a repeating unit represented by Formula 1 and the photosensitive polymer has a weight average molecule weight of from about 3,000 to about 50,000:
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: May 5, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Sang-Jun Choi
  • Patent number: 9012133
    Abstract: An adhesion promoter layer is formed on a surface of a substrate as an adhesion promoter layer, on which a photoresist is applied. The photoresist is lithographically exposed. Soluble portions of the lithographically exposed photoresist are dissolved in a developer solution including tetraalkylammonium hydroxide. Tetraalkylammonium hydroxide salts are formed in crystalline forms on surfaces of the substrate. A water-soluble acidic polymer layer is applied over the surfaces of the substrate to dissolve the tetraalkylammonium hydroxide salts. The water-soluble acidic polymer layer is rinsed off by water, thereby providing clean surfaces that do not include the tetraalkylammonium hydroxide salts on the substrate. Subsequent processes can be performed on the substrate, which is covered by remaining portions of the developed photoresist and has clean surfaces in regions not covered by the photoresist.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: April 21, 2015
    Assignee: International Business Machines Corporation
    Inventors: Javier J. Perez, Dario L. Goldfarb, Ranee W. Kwong, Libor Vyklicky
  • Patent number: 8993218
    Abstract: One or more techniques or systems for controlling a profile for photo resist (PR) are provided herein. In some embodiments, a first shield layer is formed on a first PR layer and a second PR layer is formed on the first shield layer. A first window is formed within the second PR layer during a first exposure with a mask. A second window is formed within the first shield layer based on the first window. A third window is formed within the first PR layer during a second exposure without a mask. Because, the third window is formed while the first shield layer and the second PR layer are on the first PR layer, a profile associated with the first PR layer is controlled. Contamination during ion bombardment is mitigated due to the controlled profile.
    Type: Grant
    Filed: February 20, 2013
    Date of Patent: March 31, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Li Huai Yang, Chien-Mao Chen
  • Patent number: 8975008
    Abstract: Polymerized negative acting photoresists are removed from substrates at relatively low temperatures and fast stripping times using aqueous based alkaline solutions.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: March 10, 2015
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Paul J. Ciccolo, Brian D. Amos, Stephen McCammon
  • Patent number: 8975009
    Abstract: A method is provided for preparing a prepatterned substrate for use in DSA integration. In one example, the method includes removing a radiation-sensitive material pattern overlying a patterned cross-linked polystyrene copolymer layer by a) exposure to a solvent vapor, b) exposure to a liquid solvent, and c) repeating steps a)-b) until the radiation-sensitive material pattern is completely removed. In another example, the method includes removing a neutral layer by affecting removal of an underlying patterned radiation-sensitive material layer, which includes swelling the neutral layer; and removing the radiation-sensitive material pattern and the swollen neutral layer in portions by exposing the swollen layer and pattern to a developer solution. Swelling the neutral layer includes a) exposure to a solvent vapor; b) exposure to a liquid solvent; and c) repeating steps a)-b) until the neutral layer is sufficiently swollen to allow penetration of the developing solution through the swollen neutral layer.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: March 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Mark H. Somervell, David Hetzer, Lior Huli
  • Patent number: 8956802
    Abstract: Provided is a negative type pattern forming method that satisfies high sensitivity, high resolution, good roughness and good dry etching resistance at the same time, and further, has a good development time dependency, the method including (i) forming a film by a chemical amplification resist composition containing (A) a fullerene derivative having an acid-decomposable group, (B) a compound generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent, (ii) exposing the film, and (iii) developing the exposed film by using an organic solvent-containing developer.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 17, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Kaoru Iwato
  • Patent number: 8951710
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut.
    Type: Grant
    Filed: August 4, 2014
    Date of Patent: February 10, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Patent number: 8945812
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid, an acid-generator component (B) which generates acid upon exposure and an organic solvent component (S), the organic solvent component (S) containing an organic solvent (S1) including a compound represented by general formula (s-1) shown below and the acid-generator component (B) being an onium salt (in the formula, X represents a single bond or an alkylene group of 1 to 3 carbon atoms; and n represents an integer of 0 to 3).
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: February 3, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Naoto Motoike
  • Patent number: 8920692
    Abstract: Used flexographic printing members and unused flexographic printing precursors can be recycled for reuse. This recycling can be achieved by melting a laser-engraveable layer of a flexographic printing precursor or a laser-engraved layer of a flexographic printing member to form a melt, and reforming the melt into a new laser-engravable flexographic printing precursor. The laser-engraveable layer or laser-engraved layer comprises one or more thermoplastic elastomeric nanocrystalline polyolefins that can also be mixed with non-nanocrystalline polymers.
    Type: Grant
    Filed: March 22, 2011
    Date of Patent: December 30, 2014
    Assignee: Eastman Kodak Company
    Inventors: Christine Joanne Landry-Coltrain, Linda M. Franklin
  • Publication number: 20140377951
    Abstract: The present apparatus and method are configured to remove challenging polymer films and structures from semiconductor wafers. This technique involves the use of a double soak and spray sequence with unique parameters and can be varied depending upon the application. The initial immersion step is used to initiate the swelling and dissolution of the polymer. The first spray step may include a high pressure needle to pierce through the top layer allowing more solvent to penetrate in the subsequent soak process. The second immersion can then penetrate further and faster allowing substantial penetration of the polymer by the solvent. The final high pressure spray proceeds to remove all of the polymer coating. The process ends with a final rinse and dry sequence.
    Type: Application
    Filed: June 20, 2013
    Publication date: December 25, 2014
    Inventors: John Taddei, Laura Mauer, Ramey Youssef, John Clark, Elena Lawrence
  • Patent number: 8916338
    Abstract: Processes associated apparatus and compositions useful for removing organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. Processes are presented that apply a minimum volume of a composition as a coating to the inorganic substrate whereby sufficient heat is added and the organic substances are completely removed by rinsing. The compositions and processes may be suitable for removing and, in some instances, completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: November 19, 2013
    Date of Patent: December 23, 2014
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire, Spencer Erich Hochstetler, Richard Dalton Peters, Rodney Scott Armentrout, Darryl W. Muck
  • Patent number: 8895227
    Abstract: A developing solution is disclosed with which it is possible to develop a photoresist disposed on a substrate including a conductive polymer. Also disclosed is a method for forming a resist pattern using the developing solution. The developing solution contains one or more acids and/or salts thereof, the acids being selected from inorganic acids, amino acids having an isoelectric point less than 7, and carboxylic acids having two or more carboxy groups. Use of this developing solution hence inhibits the phenomenon in which a substrate including a conductive polymer suffers an increase in surface resistivity due to a developing solution, and makes it possible to obtain a fine resist pattern.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: November 25, 2014
    Assignee: Toagosei Co., Ltd.
    Inventors: Takashi Ihara, Hiromu Taguchi
  • Patent number: 8883396
    Abstract: A resist composition containing a base component (A) which generates an acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, wherein the base component (A) contains a copolymer (A1) having a structural unit (a0) containing a group represented by the following general formula (a0-1) or (a0-2), a structural unit (a11) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a polycyclic group, and a structural unit (a12) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a monocyclic group. Each of the groups —R3—S+(R4)(R5) and Mm+ in the formula has only one aromatic ring as a whole or has no aromatic ring.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: November 11, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Masahito Yahagi, Jun Iwashita
  • Patent number: 8883937
    Abstract: A cyclic compound represented by formula (1): wherein L, R1, R?, and m are as defined in the specification. The cyclic compound of formula (1) is highly soluble to a safety solvent, highly sensitive, and capable of forming resist patterns with good profile. Therefore, the cyclic compound is useful as a component of a radiation-sensitive composition.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: November 11, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Masatoshi Echigo, Hiromi Hayashi
  • Patent number: 8871431
    Abstract: A method of tailoring the shape of a plurality of relief dots created in a photosensitive printing blank during a digital platemaking process is provided. The photosensitive printing blank comprises a laser ablatable mask layer disposed on at least one photocurable layer which is mountable on a printing sleeve. The method comprises the steps of (1) laser ablating the laser ablatable mask layer to create an in situ negative in the laser ablatable layer; (2) placing a barrier layer on top of the laser ablatable mask layer; (3) exposing the at least one photocurable layer to actinic radiation through the barrier layer and the in situ negative; (4) removing the barrier layer; and (5) developing the imaged and exposed photosensitive printing blank to reveal the relief image therein, the relief image comprising the plurality of relief dots. The presence of the barrier layer produces printing dots having desired geometric characteristics.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: October 28, 2014
    Inventors: Timothy Gotsick, David A. Recchia, Ryan W. Vest
  • Patent number: 8871432
    Abstract: A pattern-forming method includes: (1) a resist underlayer film-forming step of providing a resist underlayer film on an upper face side of a substrate by coating a resist underlayer film-forming composition containing a resin having a phenolic hydroxyl group; (2) a resist pattern-forming step of forming a resist pattern on an upper face side of the resist underlayer film; (3) a pattern-forming step of dry etching at least the resist underlayer film and the substrate, with the aid of the resist pattern as a mask to form a pattern on the substrate; and (4) a resist underlayer film-removing step of removing the resist underlayer film on the substrate with a basic solution, in the order of (1) to (4).
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: October 28, 2014
    Assignee: JSR Corporation
    Inventors: Shin-ya Minegishi, Satoru Murakami, Yushi Matsumura, Kazuhiko Komura, Yoshio Takimoto, Shin-ya Nakafuji, Kyoyu Yasuda
  • Patent number: 8852857
    Abstract: A substrate treatment method of performing treatment on a substrate on which a pattern mask has been formed by exposure and developing treatment to improve roughness of the pattern mask includes the processes of: mounting and heating the substrate on a stage in a treatment container; then supplying a solvent gas to a center portion of a front surface of the substrate while exhausting the solvent gas from a periphery of the substrate to swell the pattern mask; and while performing the process of supplying and exhausting a solvent gas, forming a temperature gradient in the substrate via the stage such that a temperature at the center portion of the substrate is higher than a temperature at a peripheral portion of the substrate.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Shinji Kobayashi
  • Patent number: 8846301
    Abstract: An orthogonal process for photolithographic patterning organic structures is disclosed. The disclosed process utilizes fluorinated solvents or supercritical CO2 as the solvent so that the performance of the organic conductors and semiconductors would not be adversely affected by other aggressive solvent. One disclosed method may also utilize a fluorinated photoresist together with the HFE solvent, but other fluorinated solvents can be used. In one embodiment, the fluorinated photoresist is a resorcinarene, but various fluorinated polymer photoresists and fluorinated molecular glass photoresists can be used as well. For example, a copolymer perfluorodecyl methacrylate (FDMA) and 2-nitrobenzyl methacrylate (NBMA) is a suitable orthogonal fluorinated photoresist for use with fluorinated solvents and supercritical carbon dioxide in a photolithography process.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: September 30, 2014
    Assignee: Cornell University
    Inventors: Christopher K. Ober, George Malliaras, Jin-Kyun Lee, Alexander Zakhidov, Margarita Chatzichristidi, Priscilla Taylor
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Patent number: 8815493
    Abstract: A resist pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed with a developer solution including no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a base polymer, a fluorine-atom-containing polymer, a radiation-sensitive acid generator, a solvent, and a compound. The base polymer has an acid-labile group. The fluorine-atom-containing polymer has a content of fluorine atoms higher than a content of fluorine atoms of the base polymer. The compound has a relative permittivity greater than a relative permittivity of the solvent by at least 15. A content of the compound is no less than 10 parts by mass and no greater than 200 parts by mass with respect to 100 parts by mass of the base polymer.
    Type: Grant
    Filed: April 19, 2013
    Date of Patent: August 26, 2014
    Assignee: JSR Corporation
    Inventors: Koji Ito, Hirokazu Sakakibara, Masafumi Hori, Taiichi Furukawa
  • Patent number: 8785115
    Abstract: A photoresist removal method is described. A substrate having thereon a positive photoresist layer to be removed is provided. The positive photoresist layer is UV-exposed without using a photomask. A development liquid is used to remove the UV-exposed positive photoresist layer. The substrate as provided may further have thereon a sacrificial masking layer under the positive photoresist layer. The sacrificial masking layer is removed after the UV-exposed positive photoresist layer is removed.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: July 22, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Hung-Yi Wu, Yuan-Chi Pai, Yu-Wei Cheng, Chang-Mao Wang
  • Patent number: 8778600
    Abstract: A method of forming a high resolution organic thin film pattern, the method including forming a first organic layer on a substrate; selectively removing the first organic layer by selectively irradiating light energy onto the first organic layer, and forming a remaining part of the first organic layer as a sacrifice layer; forming a second organic layer on the substrate and the entire surface of the sacrifice layer; and lifting off the second organic layer formed on the sacrifice layer by removing the sacrifice layer using a solvent, and forming the remaining second organic layer as a second organic layer pattern.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: July 15, 2014
    Assignees: Samsung Display Co., Ltd., SNU R&DB Foundation
    Inventors: Min-Chul Suh, Sin-Doo Lee, Won-Suk Choi, Min-Hoi Kim
  • Patent number: 8771920
    Abstract: A lithographic printing plate precursor in a positive-type with an infrared-sensitivity, having a support and an image recording layer provided on the support, the support having a hydrophilic surface, the recording layer having a particular resin, an amphoteric surfactant and/or an anionic surfactant, and an infrared absorbing agent, wherein the particular resin being at least one of resins selected from the group consisting of a polyurethane resin, a poly (vinyl acetal) resin, and maleimide resin A.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: July 8, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Shigekatsu Fujii, Norio Aoshima, Yoshinori Taguchi, Yoichiro Ara, Takashi Aridomi
  • Patent number: 8703397
    Abstract: A method for fabricating a side shield for a magnetic transducer is described. The magnetic transducer has a nonmagnetic layer and a pole on the nonmagnetic layer. The pole has sidewalls and an air-bearing surface location (ABS location) corresponding to an air-bearing surface (ABS). A developable bottom antireflective coating (D-BARC) layer covering the pole and at least a portion of the nonmagnetic layer is provided. The D-BARC layer is photosensitive. A photosensitive mask layer is provided on the D-BARC layer. A first portion of the mask layer and a first portion of the D-BARC layer are removed to form a bi-layer mask. The bi-layer mask has an aperture in the mask layer and the D-BARC layer. At least one side shield layer is deposited. At least a portion of the at least one side shield layer resides in the aperture. The bi-layer mask is also removed.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: April 22, 2014
    Assignee: Western Digital (Fremont), LLC
    Inventors: Xianzhong Zeng, Hai Sun
  • Patent number: 8697345
    Abstract: A photoresist stripping solution comprising (a) a specified quaternary ammonium hydroxide, such as tetrabutylammonium hydroxide, tetrapropylammonium hydroxide, methyltributylammonium hydroxide or methyltripropylammonium hydroxide, (b) a water-soluble amine, (c) water, (d) a corrosion inhibitor and (e) a water-soluble organic solvent, the compounding ratio of component (a) to component (b) being in the range of from 1:3 to 1:10 by mass, as well as a method of stripping photoresists using the solution. The stripping solution of the invention assures effective protection of Al, Cu and other wiring metal conductors against corroding as well as efficient stripping of the photoresist film, post-ashing residues such as modified photoresist film and metal depositions. It also assures efficient stripping of Si-based residues and effective protection of the substrate (particularly the reverse side of a Si substrate) from corroding.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: April 15, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Kazumasa Wakiya, Shigeru Yokoi
  • Patent number: 8685625
    Abstract: A photoresist processing method includes treating a substrate with a sulfur-containing substance. A positive-tone photoresist is applied on and in contact with the treated substrate. The method includes selectively exposing a portion of the photoresist to actinic energy and developing the photoresist to remove the exposed portion and to form a photoresist pattern on the substrate. The treating with a sulfur-containing substance reduces an amount of residual photoresist intended for removal compared to an amount of residual photoresist that remains without the treating.
    Type: Grant
    Filed: September 19, 2012
    Date of Patent: April 1, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Todd R. Abbott, Sandra Tagg, Amy Weatherly
  • Patent number: 8679734
    Abstract: Disclosed herein is a composition and method for semiconductor processing. In one embodiment, a wet-cleaning composition for removal of photoresist is provided. The composition comprises a strong base; an oxidant; and a polar solvent. In another embodiment, a method for removing photoresist is provided. The method comprises the steps of applying a wet-cleaning composition comprising about 0.1 to about 30 weight percent strong base; about one to about 30 weight percent oxidant; about 20 to about 95 weight percent polar solvent; and removing the photoresist.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: March 25, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David W. Minsek, Melissa K. Rath, David D. Bernhard, Thomas H. Baum
  • Patent number: 8632958
    Abstract: A method of controlling surface roughness of the relief surface of a flexographic printing element during thermal processing is provided. An imaged and exposed relief image printing element is thermally developed to remove the portions of at least one layer of photopolymer that are not crosslinked and cured by a) heating the at least one layer of photopolymer to soften uncured portions of the at least one layer of photopolymer; b) causing contact between the at least one layer of photopolymer and a blotting material; and c) separating the blotting material from the at least one layer of photopolymer. Thereafter, a smooth material is inserted between the surface of the at least one layer of photopolymer and the blotting material. In the alternative, a polymeric film is laminated onto the relief image printing element using heat and pressure.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: January 21, 2014
    Inventors: Kyle P. Baldwin, Ryan W. Vest
  • Patent number: 8632940
    Abstract: Electrochemically grained and anodized aluminum supports are treated with a post-treatment coating solution containing a polymer derived at least in part from vinyl phosphonic acid and phosphoric acid. This post-treated support is useful as substrates in the preparation of lithographic printing plate precursors. The post-treatment substrate treatment enables wide latitude in manufacturing and compatibility with silicate-free developers to achieve negligible background staining and oxide attack.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: January 21, 2014
    Assignee: Eastman Kodak Company
    Inventors: Gerhard Hauck, Celin Savariar-Hauck, Oliver R. Blum, Michael Nielinger
  • Patent number: 8623587
    Abstract: Provided are a residue removing liquid composition capable of completely removing a resist residue and a titanium (Ti)-derived residue that remains after dry etching and ashing in via hole formation in a production process for a semiconductor substrate having metal wiring of aluminum (Al) or an Al alloy, at a low temperature in a short time, not corroding parts of an interlayer insulating material, a wiring material and others, and a cleaning method for semiconductor devices using it. The residue removing liquid composition contains (A) ammonium fluoride, (B) methanesulfonic acid, (C) a carbon-carbon triple bond-having compound, (D) a water-soluble organic solvent, and (E) water, wherein the content of (A), (C), (D) and (E) in the residue removing liquid composition is from 0.005 to 2% by mass, from 0.1 to 10% by mass, from 60 to 75% by mass and from 5 to 38% by mass, respectively, and (B) is contained in an amount of from 0.9 to 1.5 times (by mol) the amount of (A).
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: January 7, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kyoko Kamata, Keiichi Tanaka, Hiroshi Matsunaga
  • Patent number: 8614053
    Abstract: Processes associated apparatus and compositions useful for removing organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. Processes are presented that apply a minimum volume of a composition as a coating to the inorganic substrate whereby sufficient heat is added and the organic substances are completely removed by rinsing. The compositions and processes may be suitable for removing and, in some instances, completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: December 24, 2013
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire, Spencer Erich Hochstetler, Rodney Scott Armentrout, Richard Dalton Peters, Darryl W. Muck