Radiation Mask Patents (Class 430/5)
  • Patent number: 11977325
    Abstract: Some embodiments of the present application provide a photomask and its manufacturing method. The photomask includes: a substrate and a light shielding layer located on the substrate, an opening for exposing a surface of the substrate being formed in the light shielding layer; a barrier layer, the barrier layer covering a side wall of the opening and having its bottom contacted with the substrate.
    Type: Grant
    Filed: May 2, 2021
    Date of Patent: May 7, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Zhineng Kong, Xiuxuan Zhang
  • Patent number: 11977326
    Abstract: A wafer including a mask on one face and at least one layer on an opposite face, wherein the mask has at least one scribeline which overlies at least a portion of the opposite face which is substantially free of the at least one layer is described. Also described is a method of preparing a pellicle, the method including: providing a wafer having a mask on one face and at least one layer on an opposite face, defining a scribeline in the mask, and selectively removing a portion of the at least one layer which at least partially overlies the scribeline as well as a method of preparing a pellicle, the method including: providing a pellicle core, and removing at least some material from at least one face of the pellicle core in a non-oxidising environment. In any aspect, the pellicle may include a metal nitride layer.
    Type: Grant
    Filed: April 12, 2019
    Date of Patent: May 7, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Dennis De Graaf, Richard Beaudry, Maxime Biron, Paul Janssen, Thijs Kater, Kevin Kornelsen, Michael Alfred Josephus Kuijken, Jan Hendrik Willem Kuntzel, Stephane Martel, Maxim Aleksandrovich Nasalevich, Guido Salmaso, Pieter-Jan Van Zwol
  • Patent number: 11971653
    Abstract: The present invention provides a photomask blank which exhibits high adhesion of a resist film to a film containing chromium, and which is capable of achieving good resolution limit and good CD linearity during the formation of an assist pattern of a line pattern, said assist pattern supplementing the resolution of the main pattern of a photomask.
    Type: Grant
    Filed: April 20, 2020
    Date of Patent: April 30, 2024
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Naoki Matsuhashi, Kouhei Sasamoto
  • Patent number: 11971654
    Abstract: A pellicle for a lithographic apparatus, the pellicle including nitridated metal silicide or nitridated silicon as well as a method of manufacturing the same. Also disclosed is the use of a nitridated metal silicide or nitridated silicon pellicle in a lithographic apparatus. Also disclosed is a pellicle for a lithographic apparatus including at least one compensating layer selected and configured to counteract changes in transmissivity of the pellicle upon exposure to EUV radiation as well as a method of controlling the transmissivity of a pellicle and a method of designing a pellicle.
    Type: Grant
    Filed: June 9, 2023
    Date of Patent: April 30, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Pieter-Jan Van Zwol, Adrianus Johannes Maria Giesbers, Johan Hendrik Klootwijk, Evgenia Kurganova, Maxim Aleksandrovich Nasalevich, Arnoud Willem Notenboom, Mária Péter, Leonid Aizikovitsj Sjmaenok, Ties Wouter Van Der Woord, David Ferdinand Vles
  • Patent number: 11971655
    Abstract: The present invention is to provide a pellicle frame in a frame shape, having an upper end face to arrange a pellicle film thereon and a lower end face to face a photomask, and which is characterized by being provided with a notched part from an outer side face toward an inner side face of the upper end face, and to provide a pellicle characterized by including the pellicle frame as a component.
    Type: Grant
    Filed: January 26, 2023
    Date of Patent: April 30, 2024
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11971656
    Abstract: A method for manufacturing a membrane assembly for EUV lithography, the method including: providing a stack having a planar substrate and at least one membrane layer, wherein the planar substrate includes an inner region and a border region around the inner region; and selectively removing the inner region of the planar substrate. The membrane assembly includes: a membrane formed from the at least one membrane layer; and a border holding the membrane, the border formed from the border region of the planar substrate. The stack is provided with a mechanical protection material configured to mechanically protect the border region during the selectively removing the inner region of the planar substrate.
    Type: Grant
    Filed: March 13, 2023
    Date of Patent: April 30, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johan Hendrik Klootwijk, Wilhelmus Theodorus Anthonius Johannes Van Den Einden
  • Patent number: 11960201
    Abstract: The present disclosure describes a method of patterning a semiconductor wafer using extreme ultraviolet lithography (EUVL). The method includes receiving an EUVL mask that includes a substrate having a low temperature expansion material, a reflective multilayer over the substrate, a capping layer over the reflective multilayer, and an absorber layer over the capping layer. The method further includes patterning the absorber layer to form a trench on the EUVL mask, wherein the trench has a first width above a target width. The method further includes treating the EUVL mask with oxygen plasma to reduce the trench to a second width, wherein the second width is below the target width. The method may also include treating the EUVL mask with nitrogen plasma to protect the capping layer, wherein the treating of the EUVL mask with the nitrogen plasma expands the trench to a third width at the target width.
    Type: Grant
    Filed: May 15, 2023
    Date of Patent: April 16, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pei-Cheng Hsu, Chun-Fu Yang, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11953726
    Abstract: An optical device includes a first waveguide extending in a first direction and a second waveguide connected to the first waveguide. The second waveguide includes a first mirror, a second mirror, and an optical waveguide layer. At least either the first waveguide or the second waveguide has one or more gratings in a part of a connection region in which the first mirror, the second mirror, and the first waveguide overlap one another when seen from an angle parallel with a direction perpendicular to a first reflecting surface of the first mirror. The one or more gratings is at a distance that is longer than at least either a thickness of the first mirror or a thickness of the second mirror in the first direction from an end of the first mirror or the second mirror that is in the connection region.
    Type: Grant
    Filed: September 10, 2021
    Date of Patent: April 9, 2024
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Kazuki Nakamura, Yasuhisa Inada
  • Patent number: 11953823
    Abstract: A method of controlling an imaging process uses a qualified optical proximity correction (OPC) model, the process including obtaining an OPC model that is configured to model the behavior of OPC modifications to a pre-OPC design in a process for forming a pattern on a substrate using a post-OPC design in a patterning process, using the patterning process in a manufacturing environment, collecting process control data in substrates patterned using the patterning process in the manufacturing environment, storing the collected process control data in a database, analyzing, by a hardware computer system, the stored, collected process control data to verify that the OPC model is correcting pattern features within a selected threshold, and for pattern features falling outside the selected threshold, determining a modification to the imaging process to correct imaging errors.
    Type: Grant
    Filed: August 12, 2019
    Date of Patent: April 9, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Christopher Alan Spence
  • Patent number: 11953824
    Abstract: Methods for fracturing a pattern to be exposed on a surface using variable shaped beam (VSB) lithography include inputting an initial pattern; calculating a first substrate pattern from the initial pattern; overlaying the initial pattern with a two-dimensional grid, wherein an initial set of VSB shots are formed by a union of the initial pattern with locations on the grid; and merging two or more adjacent shots in the initial set of VSB shots to create a larger shot in a modified set of VSB shots; and outputting the modified set of VSB shots. The method also includes calculating a calculated pattern to be exposed on the surface with the modified set of VSB shots; and calculating a second substrate pattern from the calculated pattern to be exposed on the surface.
    Type: Grant
    Filed: May 16, 2023
    Date of Patent: April 9, 2024
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, P. Jeffrey Ungar, Nagesh Shirali
  • Patent number: 11953822
    Abstract: The present invention relates to a reflective mask blank for EUV lithography, including: a substrate, a multilayer reflective film reflecting EUV light, and a phase shift film shifting a phase of the EUV light, in which the substrate, the multilayer reflective film, and the phase shift film are formed in this order, the phase shift film includes a layer 1 including ruthenium (Ru) and nitrogen (N), and the layer 1 has an absolute value of a film stress of 1,000 MPa or less.
    Type: Grant
    Filed: May 18, 2023
    Date of Patent: April 9, 2024
    Assignee: AGC INC.
    Inventors: Hirotomo Kawahara, Daijiro Akagi, Hiroaki Iwaoka, Toshiyuki Uno, Michinori Suehara, Keishi Tsukiyama
  • Patent number: 11953832
    Abstract: A positive resist composition comprising a base polymer comprising repeat units having the structure of a sulfonium salt of a substituted or unsubstituted salicylic acid exhibits a high sensitivity, high resolution, low edge roughness and small size variation, and forms a pattern of good profile after exposure and development.
    Type: Grant
    Filed: January 7, 2022
    Date of Patent: April 9, 2024
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Patent number: 11947269
    Abstract: A method including: obtaining a detected representation of radiation redirected by each of a plurality of structures from a substrate additionally having a device pattern thereon, wherein each structure has an intentional different physical configuration of the respective structure than the respective nominal physical configuration of the respective structure, wherein each structure has geometric symmetry at the respective nominal physical configuration, wherein the intentional different physical configuration of the structure causes an asymmetric optical characteristic distribution and wherein a patterning process parameter measures change in the physical configuration; and determining a value, based on the detected representations and based on the intentional different physical configurations, to setup, monitor or correct a measurement recipe for determining the patterning process parameter.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: April 2, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Anagnostis Tsiatmas, Paul Christiaan Hinnen, Elliott Gerard McNamara, Thomas Theeuwes, Maria Isabel De La Fuente Valentin, Mir Homayoun Shahrjerdy, Arie Jeffrey Den Boef, Shu-jin Wang
  • Patent number: 11945119
    Abstract: Crosstalk mitigation among cameras in neighboring monitored workcells is achieved by computationally defining a noninterference scheme that respects the independent monitoring and operation of each workcell. The scheme may involve communication between adjacent cells to adjudicate non-interfering camera operation or system-wide mapping of interference risks and mitigation thereof. Mitigation strategies can involve time-division and/or frequency-division multiplexing.
    Type: Grant
    Filed: May 3, 2023
    Date of Patent: April 2, 2024
    Assignee: Veo Robotics, Inc.
    Inventors: Scott Denenberg, Clara Vu, Gene Malkin, Lev Persits, Valentina Chamorro, Marek Wartenberg, Pratik Devendra Dalvi, Alberto Moel
  • Patent number: 11947255
    Abstract: A method of making photolithography mask plate is provided. The method includes: providing a carbon nanotube composite structure, wherein the carbon nanotube composite structure comprises a carbon nanotube layer and a chrome layer coated on the carbon nanotube layer; locating the carbon nanotube composite structure on a substrate to expose partial surfaces of the substrate; and depositing a cover layer on the carbon nanotube composite structure.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: April 2, 2024
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 11947254
    Abstract: A method for mask data synthesis and mask making includes calibrating an optical proximity correction (OPC) model by adjusting a plurality of parameters including a first parameter and a second parameter, wherein the first parameter indicates a long-range effect caused by an electron-beam lithography tool for making a mask used to manufacture a structure, and the second parameter indicates a geometric feature of a structure or a manufacturing process to make the structure, generating a device layout, calculating a first grid pattern density map of the device layout, generating a long-range correction map, at least based on the calibrated OPC model and the first grid pattern density map of the device layout, and performing an OPC to generate a corrected mask layout, at least based on the generated long-range correction map and the calibrated OPC model.
    Type: Grant
    Filed: August 1, 2022
    Date of Patent: April 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsu-Ting Huang, Shih-Hsiang Lo, Ru-Gun Liu
  • Patent number: 11934092
    Abstract: A laser annealing method performed on a reflective photomask may include preparing a reflective photomask including a pattern area and a border area surrounding the pattern area and irradiating a laser beam onto the border area of the reflective photomask. The irradiating of the laser beam may include split-irradiating a plurality of laser beam spots onto the border area. Each of the plurality of laser beam spots may be shaped using a beam shaper. The beam shaper may include a blind area, a transparent area at a center of the blind area, and a semitransparent area between the blind area and the transparent area. Each of the plurality of laser beam spots may include a center portion passing through the transparent area and having a uniform energy profile and an edge portion passing through the semitransparent area and having an inclined energy profile.
    Type: Grant
    Filed: October 24, 2022
    Date of Patent: March 19, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hakseung Han, Sanguk Park, Jongju Park, Raewon Yi
  • Patent number: 11934091
    Abstract: A photolithography mask (10) is provided, said photolithography mask (10) including a plate (15) or an empty frame matrix, a surface of the plate (15) or empty frame matrix including an array of micro-pixels (20), wherein each micro-pixel (20) is independently controllable using an on-board micro-controller (25) in such a manner that a pattern can be generated with the array of micro-pixels (20).
    Type: Grant
    Filed: March 31, 2022
    Date of Patent: March 19, 2024
    Assignee: TECHNOLOGIES DIGITHO INC.
    Inventor: Richard Beaudry
  • Patent number: 11932900
    Abstract: An example of an array includes a support, a cross-linked epoxy polyhedral oligomeric silsesquioxane (POSS) resin film on a surface of the support, and a patterned hydrophobic polymer layer on the cross-linked epoxy POSS resin film. The patterned hydrophobic polymer layer defines exposed discrete areas of the cross-linked epoxy POSS resin film, and a polymer coating is attached to the exposed discrete areas. Another example of an array includes a support, a modified epoxy POSS resin film on a surface of the support, and a patterned hydrophobic polymer layer on the modified epoxy POSS resin film. The modified epoxy POSS resin film includes a polymer growth initiation site, and the patterned hydrophobic polymer layer defines exposed discrete areas of the modified epoxy POSS resin film. A polymer brush is attached to the polymer growth initiation site in the exposed discrete areas.
    Type: Grant
    Filed: October 25, 2022
    Date of Patent: March 19, 2024
    Assignees: Illumina, Inc., Illumina Cambridge Limited
    Inventors: Wayne N. George, Alexandre Richez, M. Shane Bowen, Andrew A. Brown, Dajun Yuan, Audrey Rose Zak, Sean M. Ramirez, Raymond Campos
  • Patent number: 11934093
    Abstract: A reflective mask blank for EUV lithography, includes: a substrate; a conductive film; a reflective layer; and an absorption layer, the absorption layer absorbing the EUV light, wherein the conductive film has a refractive index n?1000-1100 nm of 5.300 or less and has an extinction coefficient k?1000-1100 nm of 5.200 or less, at a wavelength of 1000 nm to 1100 nm, the conductive film has a refractive index n?600-700 nm of 4.300 or less and has an extinction coefficient k?600-700 nm of 4.500 or less, at a wavelength of 600 nm to 700 nm, the conductive film has a refractive index n?400-500 nm of 2.500 or more and has an extinction coefficient k?400-500 nm of 0.440 or more, at a wavelength of 400 nm to 500 nm, and the conductive film has a film thickness t of 40 nm to 350 nm.
    Type: Grant
    Filed: July 7, 2023
    Date of Patent: March 19, 2024
    Assignee: AGC INC.
    Inventors: Yusuke Ono, Hiroshi Hanekawa, Hirotomo Kawahara
  • Patent number: 11926558
    Abstract: The present specification relates to a conductive structure body, a method for manufacturing the same, and an electrode and an electronic device including the conductive structure body.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: March 12, 2024
    Assignee: LG CHEM LTD.
    Inventors: Ilha Lee, Seung Heon Lee, Song Ho Jang, Dong Hyun Oh, Ji Young Hwang, Ki-Hwan Kim, Han Min Seo, Chan Hyoung Park, Sun Young Park
  • Patent number: 11927879
    Abstract: A method includes forming a first photomask including N mask chip regions and a first mask scribe lane region surrounding each of the N mask chip regions, forming a second photomask including M mask chip regions and a second mask scribe lane region surrounding each of the M mask chip regions, performing a first semiconductor process including a first photolithography process using the first photomask on a semiconductor wafer; and performing a second semiconductor process including a second photolithography process using the second photomask on the semiconductor wafer. The first photolithography process is an extreme ultraviolet (EUV) photolithography process, the first photomask is an EUV photomask, N is a natural number of 2 or more, and M is two times N.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: March 12, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Moosong Lee, Seung Yoon Lee, Jeongjin Lee
  • Patent number: 11927880
    Abstract: A blankmask for extreme ultraviolet lithography includes a substrate, a reflective layer formed on the substrate, and a phase shift layer formed on the reflective layer. The phase shift layer contains niobium (Nb), and is made of a material containing one of tantalum (Ta), chromium (Cr), and ruthenium (Ru). A phase shift layer containing Nb and Ta has a relative reflectance of 5 to 20%, a phase shift layer containing Nb and Cr has a relative reflectance of 9 to 15%, and a phase shift layer containing Nb and Ru has a relative reflectance of 20% or more. The phase shift layer has a phase shift amount of 170 to 230°, and has a surface roughness of 0.5 nmRMS or less. It is possible to obtain excellent resolution when finally manufacturing a pattern of 7 nm or less by using a photomask manufactured using such a blankmask.
    Type: Grant
    Filed: January 10, 2022
    Date of Patent: March 12, 2024
    Assignee: S&S TECH Co., Ltd.
    Inventors: Yong-Dae Kim, Chul-Kyu Yang, Min-Kwang Park, Mi-Kyung Woo
  • Patent number: 11927844
    Abstract: Provided is a display substrate. The display substrate includes: a base substrate including a display region and a non-display region surrounding the display region; a gate drive circuit disposed in the non-display region; a plurality of first signal lines disposed in the peripheral region and connected to the gate drive circuit; and a plurality of second signal lines disposed in the non-display region and connected to the gate drive circuit; wherein each of the first signal line and the second signal line is configured to supply a signal to the gate drive circuit, and a frequency of the signal supplied by the first signal line is lower than a frequency of the signal supplied by the second signal line.
    Type: Grant
    Filed: March 9, 2021
    Date of Patent: March 12, 2024
    Assignees: Beijing BOE Optoelectronics Technology Co., Ltd., BOE Technology Groups Co., Ltd.
    Inventors: Zepeng Sun, Yong Zhang, Xianglei Qin, Jian Wang, Yanchen Li, Jian Lin, Limin Zhang, Zhichao Yang, Liangzhen Tang, Zhilong Duan, Yashuai An, Lingfang Nie, Honggui Jin, Li Tian
  • Patent number: 11927891
    Abstract: A sensor is disclosed, wherein a transducer generates acoustic waves, which are received by a lens assembly. The lens assembly transmits and directs at least a part of the acoustic waves to a target. The lens assembly then receives at least a part of acoustic waves, after interaction with the target. The sensor further comprises an optical detector that comprises at least one optically reflective member located at a surface of the lens assembly, which surface is arranged opposite to a surface of the lens assembly which faces a focal plane of the lens assembly, wherein the at least one optically reflective member is mechanically displaced in response to the acoustic waves, which are received and transmitted by the lens assembly.
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: March 12, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Nitesh Pandey, Duygu Akbulut, Alessandro Polo, Sebastianus Adrianus Goorden
  • Patent number: 11914281
    Abstract: A reflective mask blank comprises a substrate; a multilayer reflective film which is formed on the substrate and reflects EUV light; and a layered film which is formed on the multilayer reflective film. The layered film has an absolute reflectance of 2.5% or less with respect to EUV light, and comprises a first layer and a second layer formed on the first layer; and the first layer comprises a phase shift film which shifts the phase of EUV light. Alternatively, the layered film is a phase shift film which comprises a first layer and a second layer formed on the first layer, and which shifts the phase of EUV light; and the first layer comprises an absorption layer that has an absolute reflectance of 2.5% or less with respect to EUV light.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: February 27, 2024
    Assignee: HOYA CORPORATION
    Inventor: Yohei Ikebe
  • Patent number: 11914288
    Abstract: A method includes forming a photoresist layer over a wafer. The photoresist layer is exposed to a pattern of radiation using a photomask. The photoresist layer is developed after the photoresist layer is exposed to the pattern of radiation. The photomask includes a substrate and at least one opaque main feature. The substrate has a recessed region recessed from a first surface of the substrate and has a first width. The at least one opaque main feature protrudes from the first surface of the substrate and has a second width greater than the first width of the recessed region of the substrate. A height of the at least one opaque main feature is greater than a depth of the recess region of the substrate.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: February 27, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Yu Chen, Chi-Hung Liao
  • Patent number: 11914284
    Abstract: A reflective mask blank includes a substrate; a multilayer reflective film that reflects EUV light; a protection film that protects the multilayer reflective film; and a phase shift film that shifts a phase of the EUV light, the substrate, the multilayer reflective film, the protection film, and the phase shift film being arranged in this order. The phase shift film contains at least one first element X1 selected from the first group consisting of ruthenium (Ru), iridium (Ir), platinum (Pt), palladium (Pd), and gold (Au), and at least one second element X2 selected from the second group consisting of oxygen (O), boron (B), carbon (C), and nitrogen (N). In the phase shift film, a chemical shift of a peak of 3d5/2 or a peak of 4f7/2 of the first element X1 observed by X-ray electron spectroscopy is less than 0.3 eV.
    Type: Grant
    Filed: July 3, 2023
    Date of Patent: February 27, 2024
    Assignee: AGC Inc.
    Inventors: Daijiro Akagi, Shunya Taki, Takuma Kato, Ichiro Ishikawa, Kenichi Sasaki
  • Patent number: 11914283
    Abstract: A reflective mask blank includes a substrate and, on or above the substrate in order, a reflective layer for reflecting EUV light, a protective layer for protecting the reflective layer, and an absorbent layer for absorbing EUV light. The absorbent layer has a reflectance for a wavelength of 13.53 nm of from 2.5% to 10%. A film thickness d of the absorbent layer satisfies a relationship of: d M ? A ? X - ( i × 6 + 1 ) ? nm ? d ? d M ? A ? X - ( i × 6 - 1 ) ? nm where the integer i is 0 or 1, and dMAX is represented by: d MAX ( nm ) = 13.53 2 ? n ? cos ? 6 ? ° { INT ? ( 0.58 1 - n ) + 1 2 ? ? ? ( tan - 1 ( - k 1 - n ) + 0.64 ) } where n is a refractive index of the absorbent layer, k is an absorption coefficient of the absorbent layer, and INT(x) is a function of returning an integer value obtained by truncating a decimal part.
    Type: Grant
    Filed: April 11, 2022
    Date of Patent: February 27, 2024
    Assignee: AGC INC.
    Inventors: Hiroyoshi Tanabe, Hiroshi Hanekawa, Toshiyuki Uno
  • Patent number: 11906897
    Abstract: A reflective mask includes a reflective multilayer over a substrate, a capping layer over the reflective multilayer, an absorber layer over the capping layer and including a top surface, and a protection layer directly on the top surface of the absorber layer. The absorber layer is formed of a first material and the protection layer is formed of a second material that is less easily to be oxidized than the first material.
    Type: Grant
    Filed: June 17, 2021
    Date of Patent: February 20, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pei-Cheng Hsu, Yih-Chen Su, Chi-Kuang Tsai, Ta-Cheng Lien, Tzu Yi Wang, Jong-Yuh Chang, Hsin-Chang Lee
  • Patent number: 11906896
    Abstract: There is provided a reflective photomask blank and a reflective photomask having good irradiation resistance and capable of obtaining good transfer performance. A reflective photomask blank (10) contains a reflective layer (2) reflecting incident light and an absorption layer (4) absorbing incident light, which are formed in this order on one surface side of a substrate (1). The absorption layer (4) contains a first material selected from the group consisting of tin, indium, and tellurium and a second material containing one or two or more kinds of materials selected from the group consisting of transition metals, bismuth (Bi), and silicon (Si) at least in the outermost layer. The content of the second material is more than 20 at and less than 50 at % in the same laver.
    Type: Grant
    Filed: November 1, 2019
    Date of Patent: February 20, 2024
    Assignee: TOPPAN PHOTOMASK CO., LTD.
    Inventors: Toru Komizo, Norihito Fukugami, Genta Watanabe, Eisuke Narita
  • Patent number: 11904521
    Abstract: A rectangular substrate is prepared by providing a starting rectangular substrate having front and back surfaces and four side surfaces as ground, and pressing a rotary polishing pad perpendicularly against one side surface under a constant pressure, and relatively moving the rotary polishing pad and the substrate parallel to the side surface, for thereby polishing the side surface of the substrate. In the imprint lithography, the rectangular substrate is capable of controlling compression and pattern shape at a high accuracy and thus transferring a complex pattern of fine feature size to a recipient.
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: February 20, 2024
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Daiyu Okafuji, Hiroyuki Yamazaki, Masao Ando, Masaki Takeuchi
  • Patent number: 11899374
    Abstract: A method for determining electromagnetic fields associated with a mask model of a patterning process. The method includes obtaining a mask stack region of interest and an interaction order corresponding to the mask stack region of interest. The mask stack region of interest is divided into sub regions. The mask stack region of interest has one or more characteristics associated with propagation of electromagnetic waves through the mask stack region of interest. The method includes generating one or more electromagnetic field determination expressions based on the Maxwell Equations and the Quantum Schrodinger Equation. The method includes determining an electromagnetic field associated with the mask stack region of interest based on the sub regions of the mask stack region of interest and the characteristics associated with the propagation of electromagnetic waves through the mask stack region of interest, using the one or more electromagnetic field determination expressions.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: February 13, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Xingyue Peng, Jingjing Liu
  • Patent number: 11899356
    Abstract: A reflective film coated substrate includes a substrate having two main surfaces opposite to each other and end faces connected to outer edges of the two main surfaces; and a reflective film formed on one of the main surfaces and extending onto at least part of the end faces. The reflective film on the main surface has a multilayer structure including low refractive index layers and high refractive index layers alternately formed. The reflective film which extends onto the end faces has a single-layer structure containing a first element higher in content than any other element in the low refractive index layers and a second element higher in content than any other element in the high refractive index layers.
    Type: Grant
    Filed: September 30, 2022
    Date of Patent: February 13, 2024
    Assignee: HOYA CORPORATION
    Inventors: Kazuhiro Hamamoto, Takashi Uchida
  • Patent number: 11892768
    Abstract: Provided is a reflective mask blank that can reduce the shadowing effect of a reflective mask and form a fine and high-precision absorbent body pattern.
    Type: Grant
    Filed: August 8, 2019
    Date of Patent: February 6, 2024
    Assignee: HOYA CORPORATION
    Inventors: Mizuki Kataoka, Yohei Ikebe
  • Patent number: 11886126
    Abstract: The present application relates to an apparatus and to a method for removing at least a single particulate from a substrate, especially an optical element for extreme ultraviolet (EUV) photolithography, wherein the apparatus comprises: (a) an analysis unit designed to determine at least one constituent of a material composition of the at least one single particulate; and (b) at least one gas injection system designed to provide a gas matched to the particular constituent in an environment of the at least one single particulate; (c) wherein the matched gas contributes to removing the at least one single particulate from the substrate.
    Type: Grant
    Filed: July 7, 2021
    Date of Patent: January 30, 2024
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Klaus Edinger, Christian Felix Hermanns, Tilo Sielaff, Jens Oster, Christof Baur, Maksym Kompaniiets
  • Patent number: 11887881
    Abstract: A method of fabricating a substrate table includes supporting a table base and disposing a coating on a surface of the table base. The surface of the table base is substantially flat. The coating has a non-uniform thickness. The coating exerts a stress on the table so as to bend the table base. The non-uniform thickness causes a surface of the coating to become substantially flat after the bending.
    Type: Grant
    Filed: April 21, 2020
    Date of Patent: January 30, 2024
    Assignee: ASML HOLDING N.V.
    Inventors: Bruce Tirri, Ping Zhou, Elizabeth Mary Stone, David Hart Peterson, Mehmet Ali Akbas, Ryan Mayer, Richard Bryan Lewis
  • Patent number: 11886109
    Abstract: A reflective mask includes a substrate, a reflective multilayer disposed over the substrate, a capping layer disposed over the reflective multilayer, an intermediate layer disposed over the capping layer, an absorber layer disposed over the intermediate layer, and a cover layer disposed over the absorber layer. The absorber layer includes one or more layers of an Ir based material, a Pt based material or a Ru based material.
    Type: Grant
    Filed: November 14, 2022
    Date of Patent: January 30, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Yun-Yue Lin
  • Patent number: 11880130
    Abstract: Provided are a reflective mask blank, having a phase shift film having little dependence of phase difference and reflectance on film thickness, and a reflective mask. The reflective mask blank is characterized in that the phase shift film is composed of a material comprised of an alloy having two or more types of metal so that reflectance of the surface of the phase shift film is more than 3% to not more than 20% and so as to have a phase difference of 170 degrees to 190 degrees, and when a group of metal elements that satisfies the refractive index n and the extinction coefficient k of k>?*n+? is defined as Group A and a group of metal elements that satisfies the refractive index n and the extinction coefficient k of k<?*n+? is defined as Group B, the alloy is such that the composition ratio is adjusted so that the amount of change in the phase difference is within the range of ±2 degrees and the amount of change in reflectance is within the range of ±0.
    Type: Grant
    Filed: September 16, 2022
    Date of Patent: January 23, 2024
    Assignee: HOYA CORPORATION
    Inventors: Yohei Ikebe, Tsutomu Shoki, Takahiro Onoue, Hirofumi Kozakai
  • Patent number: 11862428
    Abstract: Processing an object using a material processing device with a particle beam apparatus includes determining a region of interest of the object on or in a first material region of the object, ablating material from a second material region adjoining the first material region using an ablation device, and recognizing a geometric shape of the first material region. The geometric shape has a center. Processing the object also includes ablating material from a second portion of the first material region adjoining a first portion using a particle beam, the first portion having a first subregion and a second subregion, the region of interest being arranged in the first subregion, recognizing a further geometric shape of the first material region, positioning the object such that the first position corresponds to a center of the further geometric shape, and ablating material from the second subregion using the particle beam.
    Type: Grant
    Filed: April 27, 2022
    Date of Patent: January 2, 2024
    Assignee: Carl Zeiss Microscopy GmbH
    Inventor: Fabian Perez Willard
  • Patent number: 11860532
    Abstract: A method of making a semiconductor device includes defining a pattern including a plurality of sub-patterns on the photomask in the pattern region based on the identifying information. The defining of the pattern includes defining a first sub-pattern of the plurality of sub-patterns having a first spacing from a second sub-pattern of the plurality of sub-patterns, wherein the first spacing is different from a second spacing between the second sub-pattern and a third sub-pattern of the plurality of sub-patterns, or rotating the first sub-pattern about an axis perpendicular to a top surface of the photomask relative to the second sub-pattern.
    Type: Grant
    Filed: July 26, 2022
    Date of Patent: January 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsin-Chang Lee, Ping-Hsun Lin, Chih-Cheng Lin, Chia-Jen Chen
  • Patent number: 11860531
    Abstract: In certain embodiments, a method includes the following steps. A layout used in a lithographic mask development process is accessed. For example, the layout may be the layout of the mask itself, or it may be the layout of the resulting printed pattern on the wafer. The layout includes a number of disjoint shapes. Skeleton representations for at least some of the disjoint shapes in the layout are determined. The skeleton representation of an individual shape has elements of two or more nodes connected by edges. It also includes size parameters for at least some of the elements. The skeleton representations of the shapes are used in the mask development process.
    Type: Grant
    Filed: February 19, 2021
    Date of Patent: January 2, 2024
    Assignee: Synopsys, Inc.
    Inventors: Thomas C. Cecil, David W. Thomas
  • Patent number: 11860530
    Abstract: A photolithographic mask assembly according to the present disclosure accompanies a photolithographic mask. The photolithographic mask includes a capping layer over a substrate and an absorber layer disposed over the capping layer. The absorber layer includes a first main feature area, a second main feature area, and a venting feature area disposed between the first main feature area and the second main feature area. The venting feature area includes a plurality of venting features.
    Type: Grant
    Filed: June 30, 2022
    Date of Patent: January 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Ta Lu, Chih-Chiang Tu, Cheng-Ming Lin, Ching-Yueh Chen, Wei-Chung Hu, Ting-Chang Hsu, Yu-Tung Chen
  • Patent number: 11860529
    Abstract: A substrate with a multilayer reflection film for an EUV mask blank including a substrate, and a multilayer reflection film formed on the substrate is provided. The multilayer reflection film includes a Si/Mo laminated portion and a protection layer containing Ru and including a lower layer composed of Ru, and an upper layer composed of a material containing Ru and at least one selected from the group consisting of metals other than Ru, and metalloids.
    Type: Grant
    Filed: September 1, 2021
    Date of Patent: January 2, 2024
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yukio Inazuki, Tsuneo Terasawa, Takuro Kosaka, Hideo Kaneko, Kazuhiro Nishikawa
  • Patent number: 11860336
    Abstract: An optical device includes a membrane. The membrane includes a plurality of apertures extending at least partially through a thickness of the membrane. The membrane is configured to structure incoming light having a wavelength to produce modified light. The wavelength of the incoming light in vacuum is in a range of ultraviolet light and mid-infrared. The membrane is configured to reflect the modified light away from the membrane or transmit the modified light through the membrane. A separation between each of the plurality of apertures is subwavelength relative to the wavelength of the incoming light. A width of each of the plurality of apertures is subwavelength relative to the wavelength of the incoming light. A length of each of the plurality of apertures is wavelength-scale relative to the wavelength of the incoming light.
    Type: Grant
    Filed: October 27, 2021
    Date of Patent: January 2, 2024
    Assignee: PRESIDENT AND FELLOWS OF HARVARD COLLEGE
    Inventors: Maryna Leonidivna Meretska, Soon Wei Daniel Lim, Federico Capasso
  • Patent number: 11852964
    Abstract: A method of manufacturing a reflective mask blank includes: forming a multilayer reflective film, which is configured to reflect EUV light, on a substrate to form a substrate with a multilayer reflective film; subjecting the substrate with a multilayer reflective film to defect inspection; forming an absorber film, which is configured to absorb the EUV light, on the multilayer reflective film of the substrate with a multilayer reflective film; forming a reflective mask blank, in which an alignment region is formed in an outer peripheral edge region of a pattern formation region by removing the absorber film so that the multilayer reflective film of an area including an element serving as a reference of defect information on the multilayer reflective film is exposed in the alignment region; and performing defect management of the reflective mask blank through use of the alignment region.
    Type: Grant
    Filed: May 20, 2021
    Date of Patent: December 26, 2023
    Assignee: HOYA CORPORATION
    Inventors: Tsutomu Shoki, Takahiro Onoue
  • Patent number: 11856880
    Abstract: A semiconductor storage device includes a first region, a second region, and a third region. The first region includes first wirings extending in a first direction, second wirings extending in a second direction, and a memory cells provided at intersections of the first and second wirings. The second region includes a contact extending in a third direction. The third region includes first dummy wirings extending in the first direction, and a second dummy wirings extending in the second direction. A width in the first direction of a first one of the second dummy wirings, closest to the first region or the second region in the first direction, is equal to or less than a width in the first direction of a second one of the second dummy wirings next closest to the first region or the second region in the first direction.
    Type: Grant
    Filed: March 3, 2021
    Date of Patent: December 26, 2023
    Assignee: KIOXIA CORPORATION
    Inventor: Hiroyuki Ode
  • Patent number: 11852965
    Abstract: An extreme ultraviolet mask including a substrate, a reflective multilayer stack on the substrate and a patterned absorber layer on the reflective multilayer stack is provided. The patterned absorber layer includes an alloy comprising tantalum and at least one alloying element. The at least one alloying element includes at least one transition metal element or at least one Group 14 element.
    Type: Grant
    Filed: May 26, 2021
    Date of Patent: December 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pei-Cheng Hsu, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11852969
    Abstract: In a method of cleaning a photo mask, the photo mask is placed on a support such that a pattered surface faces down, and an adhesive sheet is applied to edges of a backside surface of the photo mask.
    Type: Grant
    Filed: June 29, 2022
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsin-Chang Lee, Pei-Cheng Hsu, Hao-Ping Cheng, Ta-Cheng Lien
  • Patent number: 11846880
    Abstract: A photolithography mask includes a substrate, a reflective multilayer structure over the substrate, an adhesion layer over the reflective multilayer structure, a capping layer over the adhesion layer, and a patterned absorber layer over the capping layer. The capping layer includes a non-crystalline conductive material.
    Type: Grant
    Filed: May 13, 2022
    Date of Patent: December 19, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Yun-Yue Lin