Radiation Mask Patents (Class 430/5)
  • Patent number: 11829065
    Abstract: A reflective mask blank includes a substrate; a multilayer reflective film that reflects EUV light; and a phase shift film that shifts a phase of the EUV light, in this order. The phase shift film contains a compound containing ruthenium (Ru) and an element X2 different from Ru. A melting point MP1 of an oxide of the compound and a melting point MP2 of a fluoride or an oxyfluoride of the compound satisfy a relation of 0.625MP1+MP2?1000.
    Type: Grant
    Filed: March 31, 2023
    Date of Patent: November 28, 2023
    Assignee: AGC Inc.
    Inventors: Shunya Taki, Hiroaki Iwaoka, Daijiro Akagi, Ichiro Ishikawa
  • Patent number: 11829063
    Abstract: A reflective photomask includes a pattern area, a non-pattern area at least partially surrounding the pattern area, and a black border area interposed between the pattern area and the non-pattern area. The reflective photomask includes a mask substrate, a reflector layer stacked on the mask substrate, and an absorber layer stacked on the reflector layer. The black border area includes a plurality of first anneal patterns which are arranged along an edge of the pattern area and each have an island shape, and a second anneal pattern which fills inside of the black border area and has a line shape.
    Type: Grant
    Filed: October 14, 2021
    Date of Patent: November 28, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang Uk Park, Jong Ju Park, Jong Keun Oh
  • Patent number: 11822231
    Abstract: A method for removing particles includes receiving a pellicle including a pellicle membrane, a pellicle frame and at least a particle disposed on the pellicle membrane, generating light beams to form an optical trap extending in a direction perpendicular to the pellicle membrane, and removing the particle from the pellicle membrane by the optical trap.
    Type: Grant
    Filed: July 12, 2021
    Date of Patent: November 21, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Tzu Han Liu, Chih-Wei Wen, Chung-Hung Lin
  • Patent number: 11822230
    Abstract: In a method of de-mounting a pellicle from a photo mask, the photo mask with the pellicle is placed on a pellicle holder. The pellicle is attached to the photo mask by a plurality of micro structures. The plurality of micro structures are detached from the photo mask by applying a force or energy to the plurality of micro structures before or without applying a pulling force to separate the pellicle from the photo mask. The pellicle is de-mounted from the photo mask. In one or more of the foregoing and following embodiments, the plurality of micro structures are made of an elastomer.
    Type: Grant
    Filed: January 29, 2021
    Date of Patent: November 21, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Yao Wei, Chi-Lun Lu, Hsin-Chang Lee
  • Patent number: 11815802
    Abstract: A method includes: providing a photomask, wherein the photomask includes a multilayer stack, a light-absorption layer, an anti-reflection coating and a light-absorption layer. The method further includes: receiving information on the photomask; determining a bias voltage according to the information; determining a scan recipe of an electron beam writer system based on the bias voltage; and performing a repairing operation on at least one of the anti-reflection coating and the light-absorption layer by the electron beam writer system with the scan recipe.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: November 14, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Hao-Ming Chang, Ching-Chih Chuang, Hsiao-Chen Li
  • Patent number: 11815810
    Abstract: The present disclosure is directed to EUV mask inspection tools including a source assembly that generates a EUV beam, a detector assembly having a projection optics system, a processor, a movable stage supporting a patterned mask, a stage control system, and a processor programmed to set the height for the stage based on instructions of a first program module that analyzes and combines mask pattern data and mask layout information to generate an out-of-plane distortion map. In an aspect, a second program module generates instructions to analyze inspection results outputted by the inspection tool to generate a defocus characterization map. In a further aspect, a present method provides predictive data and actual measured data to determine stage heights for use by a present mask inspection tool for inspection of patterned EUV masks to obtain results that compensate for defocusing to due to bowing of the patterned EUV mask.
    Type: Grant
    Filed: September 22, 2021
    Date of Patent: November 14, 2023
    Assignee: INTEL CORPORATION
    Inventors: Yoshihiro Tezuka, Marieke Ordway, Charles Holzwarth
  • Patent number: 11815804
    Abstract: An extreme ultraviolet mask including a substrate, a reflective multilayer stack on the substrate and a capping layer on the reflective multilayer stack is provided. The reflective multilayer stack is treated prior to formation of the capping layer on the reflective multilayer stack. The capping layer is formed by an ion-assisted ion beam deposition or an ion-assisted sputtering process.
    Type: Grant
    Filed: September 22, 2021
    Date of Patent: November 14, 2023
    Inventors: Ping-Hsun Lin, Pei-Cheng Hsu, Ching-Fang Yu, Ta-Cheng Lien, Chia-Jen Chen, Hsin-Chang Lee
  • Patent number: 11815805
    Abstract: A method for forming an extreme ultraviolet photolithography mask includes forming a reflective multilayer, forming a buffer layer on the reflective multilayer, and forming an absorption layer on the reflective multilayer. Prior to patterning the absorption layer, an outer portion of the absorption layer is removed. Photoresist is then deposited on the top surface of the absorption layer and on sidewalls of the absorption layer. The photoresist is then patterned, and the absorption layer is etched with a plasma etching process in the presence of the patterned photoresist. The presence of the photoresist on the sidewalls of the absorption layer during the plasma etching process helps to improve uniformity in the etching of the absorption layer during the plasma etching process.
    Type: Grant
    Filed: March 29, 2022
    Date of Patent: November 14, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Chang Hsueh, Hsin-Chang Lee, Ta-Cheng Lien
  • Patent number: 11815803
    Abstract: Extreme ultraviolet (EUV) mask blanks, production systems therefor, and methods of increasing multilayer film reflectance are disclosed. The EUV mask blanks comprise a bilayer film on a substrate. The bilayer film comprises a first film layer including silicon (Si), and a second film layer comprising an element selected from the group consisting of ruthenium (Ru), nickel (Ni), cobalt (Co), tungsten (W), iron (Fe), titanium (Ti) and silicides thereof. Some EUV mask blanks further comprise a multilayer reflective stack comprising alternating layers on the bilayer film and a capping layer on the multilayer reflective stack. Some EUV mask blanks include a smoothing layer selected from the group consisting of molybdenum silicide (MoSi), boron carbide (B4C) and silicon nitride (SiN) on the multilayer reflective stack, a capping layer on the smoothing layer, and an absorber layer on the capping layer.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: November 14, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Wen Xiao, Binni Varghese, Vibhu Jindal
  • Patent number: 11815806
    Abstract: Provided is a reflective mask blank with which it is possible to further reduce the shadowing effect of a reflective mask, and also possible to form a fine and highly accurate phase-shift pattern. A reflective mask blank having, in the following order on a substrate, a multilayer reflective film and a phase-shift film that shifts the phase of EUV light, said reflective mask blank characterized in that the phase-shift film has a thin film comprising a metal-containing material that contains: ruthenium (Ru); and at least one element from among chromium (Cr), nickel (Ni), (Co), aluminum (Al), silicon (Si), titanium (Ti), vanadium (V), germanium (Ge), niobium (Nb), molybdenum (Mo), tin (Sn), tellurium (Te), hafnium (Hf), tungsten (W), and rhenium (Re).
    Type: Grant
    Filed: November 18, 2022
    Date of Patent: November 14, 2023
    Assignee: HOYA CORPORATION
    Inventors: Yohei Ikebe, Tsutomu Shoki
  • Patent number: 11815801
    Abstract: Disclosed is a blankmask for EUV includes a substrate, a reflection film that is stacked on the substrate; and an absorbing film that is stacked on the reflection film. The absorbing film is constituted by an uppermost layer and a plurality of layers under the uppermost layer. The uppermost layer contains Ta and O. The plurality of layers contain Ta and are configured so that a content of N increases upward. As a result, a CD deviation of a pattern of the absorbing film is minimized.
    Type: Grant
    Filed: January 8, 2021
    Date of Patent: November 14, 2023
    Assignee: S & S TECH CO., LTD.
    Inventors: Cheol Shin, Jong-Hwa Lee, Chul-Kyu Yang, Gil-Woo Kong
  • Patent number: 11815807
    Abstract: Provided is a reflective mask blank with which it is possible to further reduce the shadowing effect of a reflective mask, and also possible to form a fine and highly accurate phase-shift pattern. A reflective mask blank having, in the following order on a substrate, a multilayer reflective film and a phase-shift film that shifts the phase of EUV light, said reflective mask blank characterized in that: the phase-shift film has a first layer and a second layer; the first layer comprises a material that contains at least one element from among tantalum (Ta) and chromium (Cr); and the second layer comprises a metal-containing material that contains ruthenium (Ru) and at least one element from among chromium (Cr), nickel (Ni), cobalt (Co), vanadium (V), niobium (Nb), molybdenum (Mo), tungsten (W), and rhenium (Re).
    Type: Grant
    Filed: November 18, 2022
    Date of Patent: November 14, 2023
    Assignee: HOYA CORPORATION
    Inventors: Yohei Ikebe, Tsutomu Shoki
  • Patent number: 11800982
    Abstract: An optoacoustic sensor is configured to emit electromagnetic radiation and to detect acoustic waves, and a contact element is configured to be brought into contact with the object, the contact element being spaced from the optoacoustic sensor and being transparent to the electromagnetic radiation and the acoustic waves. Further, a scanning unit is configured to cause a movement of the optoacoustic sensor relative to the contact element, and a sealing element is configured to seal a space between the contact element and the optoacoustic sensor. The sealed space contains an acoustic coupling medium. At least a part of the sealing element is flexible to allow for the movement of the optoacoustic sensor relative to the contact element. Further, the optoacoustic sensor comprises a focused ultrasonic transducer configured to detect the acoustic waves generated in the object, the ultrasonic transducer having an axis of symmetry, and a light-emitting element configured to emit the electromagnetic radiation.
    Type: Grant
    Filed: September 3, 2019
    Date of Patent: October 31, 2023
    Assignee: ITHERA MEDICAL GMBH
    Inventor: Mathias Schwarz
  • Patent number: 11796923
    Abstract: Disclosed are an overlay correction method, a method of evaluating an overlay correction operation, and a method of fabricating a semiconductor device using the overlay correction method. The overlay correction method may include measuring an overlay between center lines of lower and upper patterns on a wafer, fitting each of components of the overlay with a polynomial function to obtain first fitting quantities, and summing the first fitting quantities to construct a correction model. The components of the overlay may include overlay components, which are respectively measured in two different directions parallel to a top surface of a reticle. The highest order of the polynomial function may be determined as an order, which minimizes a difference between the polynomial function and each of the components of the overlay or corresponds to an inflection point in a graph of the difference with respect to the highest order of the polynomial function.
    Type: Grant
    Filed: August 3, 2021
    Date of Patent: October 24, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyunjay Kang, Chorong Park, Doogyu Lee, Seungyoon Lee, Jeongjin Lee
  • Patent number: 11796908
    Abstract: The present invention is to provide a pellicle frame in a frame shape having an upper end face on which a pellicle film is to be arranged and a lower end face to face a photomask, which is characterized by being provided with a notched part from the outer side face toward inner side face of the lower end face; a pellicle including the pellicle frame as an element; and a method for peeling a pellicle from a photomask onto which the pellicle has been attached, which is characterized by inserting a peeling jig into a notched part from a side face of a pellicle frame, and moving the peeling jig in an upper end face direction of the pellicle frame in this state to peel off the pellicle from the photomask.
    Type: Grant
    Filed: September 20, 2022
    Date of Patent: October 24, 2023
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11798590
    Abstract: The present invention relates to a method for recording data in a layer of a ceramic material and to a device for recording data in a layer of a ceramic material.
    Type: Grant
    Filed: September 29, 2022
    Date of Patent: October 24, 2023
    Assignee: Ceramic Data Solutions GmbH
    Inventor: Christian Pflaum
  • Patent number: 11796924
    Abstract: A method for overlay error correction includes generating a first overlay error based on a first overlay mark, wherein the first overlay error is indicative of a misalignment between a lower pattern and an upper pattern of the first overlay mark. The method also includes generating a second overlay error based on a second overlay mark, in response to an abnormal of the first overlay error is detected. The method further includes determining whether the abnormal of the first overlay error is caused by the misalignment between the lower pattern and the upper pattern depending on the second overlay error.
    Type: Grant
    Filed: January 4, 2022
    Date of Patent: October 24, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Shih-Yuan Ma
  • Patent number: 11797886
    Abstract: An image processing device 500 includes: a normal image acquisition means 501 which acquires a normal image including an object for inspection in a normal condition; an anomaly simulated image generation means 502 which inserts, into the normal image, a simulated image of an anomaly feature of the object for inspection in an anomaly condition to generate an anomaly simulated image; and a model learning means 503 which, using the normal image and the anomaly simulated image, learns a reproduction model for generating, from part of an image containing the object for inspection, a reproduction image is an image including at least a predetermined region of the object for inspection in which the predetermined region in a normal condition is reproduced.
    Type: Grant
    Filed: March 5, 2019
    Date of Patent: October 24, 2023
    Assignee: NEC CORPORATION
    Inventor: Yohei Iizawa
  • Patent number: 11789359
    Abstract: This application relates to a method for manufacturing a pellicle for extreme ultraviolet lithography. In one aspect, the method includes forming a support layer of a silicon nitride material on a silicon substrate, and forming a core layer of a graphene material on the support layer. The method may also include forming a graphene defect healing layer on the core layer by selectively forming a material of MeOxNy (Me is one of Si, Al, Ti, Zr, and Hf, x+y=2) at a grain boundary of the core layer in an atomic layer deposition process using heat in order to heal defects generated in graphene forming the core layer without additional damage to the graphene. The method may further include a capping layer on the graphene defect healing layer, wherein a central portion of the silicon substrate under the support layer is removed to form an opening partially exposing the support layer.
    Type: Grant
    Filed: December 1, 2021
    Date of Patent: October 17, 2023
    Assignee: KOREA ELECTRONICS TECHNOLOGY INSTITUTE
    Inventors: Hyeong Keun Kim, Hyun Mi Kim, Jin Woo Cho, Seul Gi Kim, Jun Hyeok Jeon
  • Patent number: 11789360
    Abstract: A portion of a buffer layer on a backside of a substrate of a photomask assembly may be removed prior to formation of one or more capping layers on the backside of the substrate. The one or more capping layers may be formed directly on the backside of the substrate where the buffer layer is removed from the substrate, and a hard mask layer may be formed directly on the one or more capping layers. The one or more capping layers may include a low-stress material to promote adhesion between the one or more capping layers and the substrate, and to reduce and/or minimize peeling and delamination of the capping layer(s) from the substrate. This may reduce the likelihood of damage to the pellicle layer and/or other components of the photomask assembly and/or may increase the yield of an exposure process in which the photomask assembly is used.
    Type: Grant
    Filed: July 18, 2022
    Date of Patent: October 17, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Hao Lee, Hsi-Cheng Hsu, Jui-Chun Weng, Han-Zong Pan, Hsin-Yu Chen, You-Cheng Jhang
  • Patent number: 11789356
    Abstract: In a method of manufacturing a photo mask, an etching mask layer having circuit patterns is formed over a target layer of the photo mask to be etched. The photo mask includes a backside conductive layer. The target layer is etched by plasma etching, while preventing active species of plasma from attacking the backside conductive layer.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: October 17, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Chang Lee, Pei-Cheng Hsu, Ta-Cheng Lien, Tzu Yi Wang
  • Patent number: 11789358
    Abstract: Extreme ultraviolet (EUV) mask blanks and methods for their manufacture, and production systems therefor are disclosed. The method for forming an EUV mask blank comprises smoothing out surface defects on a surface of a substrate.
    Type: Grant
    Filed: April 20, 2021
    Date of Patent: October 17, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Wen Xiao, Vibhu Jindal, Weimin Li, Sanjay Bhat, Azeddine Zerrade
  • Patent number: 11782339
    Abstract: A pellicle for an EUV photo mask includes a first capping layer, a matrix layer disposed over the first capping layer, a second capping layer disposed over the matrix layer; and a metallic layer disposed over the second capping layer.
    Type: Grant
    Filed: May 24, 2021
    Date of Patent: October 10, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Yun-Yue Lin
  • Patent number: 11782337
    Abstract: Extreme ultraviolet (EUV) mask blanks, methods of forming EUV mask blanks and production systems therefor are disclosed. The EUV mask blanks comprise a multilayer reflective stack on a substrate. The multilayer reflective stack comprises a trilayer film including a first film, a second film, and a third film. Some EUV mask blanks include an interface layer on one or more of the first film, the second film and the third film. EUV mask blanks described herein have low Zeff and high reflectance over large bandwidth of reflection angle, thereby minimizing the M3D effect, especially for high-NA EUV scanners.
    Type: Grant
    Filed: September 9, 2021
    Date of Patent: October 10, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Wen Xiao, Herng Yau Yoong, Vibhu Jindal
  • Patent number: 11782338
    Abstract: A photomask and a method of manufacturing a photomask are provided. According to an embodiment, a method includes: providing a substrate; depositing a reflective layer over the substrate; depositing a capping layer over the reflective layer; depositing an absorption layer over the capping layer; and treating the reflective layer by a laser beam to form a border region. The borderer region has a reflectivity less than about 0.1%.
    Type: Grant
    Filed: April 15, 2022
    Date of Patent: October 10, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Feng Yuan Hsu, Tran-Hui Shen, Ching-Hsiang Hsu
  • Patent number: 11774846
    Abstract: Phase shift masks for an extreme ultraviolet lithography process includes a substrate, a reflection layer on the substrate, a capping layer on the reflection layer, and phase shift patterns on the capping layer. Each of the phase shift patterns may include a lower absorption pattern on the capping layer and an upper absorption pattern on the lower absorption pattern. A refractive index of the upper absorption pattern may be higher than a refractive index of the lower absorption pattern, and a thickness of the upper absorption pattern is smaller than a thickness of the lower absorption pattern.
    Type: Grant
    Filed: September 21, 2022
    Date of Patent: October 3, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seongsue Kim, Dongwan Kim, Hwanseok Seo
  • Patent number: 11774844
    Abstract: An extreme ultraviolet (EUV) mask includes a multilayer Mo/Si stack comprising alternating Mo and Si layers disposed over a first major surface of a mask substrate, a capping layer made of ruthenium (Ru) disposed over the multilayer Mo/Si stack, and an absorber layer on the capping layer. The EUV mask includes a circuit pattern area and a particle attractive area, and the capping layer is exposed at bottoms of patterns in the particle attractive area.
    Type: Grant
    Filed: March 14, 2022
    Date of Patent: October 3, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Tsung Shih, Tsung-Chih Chien, Shih-Chi Fu, Chi-Hua Fu, Kuotang Cheng, Bo-Tsun Liu, Tsung Chuan Lee
  • Patent number: 11774919
    Abstract: A distributed and scalable all-digital LDO (D-DLDO) voltage regulator allowing rapid scaling across technology nodes. The distributed DLDO includes many tillable DLDO units regulating a single supply voltage with a shared power distribution network (PDN). The D-DLDO includes an all-digital proportional-integral-derivative (PID) controller that receives a first code indicative of a voltage behavior on a power supply rail. A droop detector is provided to compare the first code with a threshold to determine a droop event, wherein information about the droop event is provided to the PID controller, wherein the PID controller generates a second code according to the first code and the information about the droop event. The DLDO includes a plurality of power gates that receive the second code.
    Type: Grant
    Filed: December 17, 2020
    Date of Patent: October 3, 2023
    Assignee: Intel Corporation
    Inventors: Suyoung Bang, Wootaek Lim, Eric Samson, Charles Augustine, Muhammad Khellah
  • Patent number: 11774847
    Abstract: A pellicle characterized by having an amount of released aqueous gas of 1×10?3 Pa·L/s or less per pellicle, an amount of released hydrocarbon-based gas of 1×10?5 Pa·L/s or less per pellicle in a range of measured mass number of 45 to 100 amu, and an amount of released hydrocarbon-based gas of 4×10?7 Pa·L/s or less per pellicle in a range of measured mass number of 101 to 200 amu, under vacuum after the pellicle has been left to stand for 10 minutes in an atmosphere of 23° C. and 1×10?3 Pa or less.
    Type: Grant
    Filed: July 20, 2022
    Date of Patent: October 3, 2023
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11768364
    Abstract: A method is used to generate a distortion model for a structured illumination microscopy (SIM) optical system. A sliding window is moved in relation to a plurality of images to define a plurality of sub-tiles. Each sub-tile represents a portion of the corresponding image. Parameters are estimated for each sub-tiles. The parameters include two or more parameters selected from the group consisting of modulation, angle, spacing, phase offset, and phase deviation. A full width at half maximum (FWHM) value associated with each sub-tile is estimated. A distortion model is estimated, based at least in part on a combination of the estimated parameters and FWHM values stored in the predetermined format and an estimated center window parameter. A two-dimensional image may be generated, based at least in part on the estimated distortion model. The two-dimensional image may include representations indicating where distortions occur in the optical system.
    Type: Grant
    Filed: December 3, 2020
    Date of Patent: September 26, 2023
    Assignee: ILLUMINA, INC.
    Inventors: Robert Langlois, Bo Lu, Hongji Ren, Joseph Pinto, Simon Prince, Austin Corbett
  • Patent number: 11768432
    Abstract: A reflective mask includes a central region and first and second peripheral regions at opposite sides of the central region, respectively, the first peripheral region including a first out-of-band region having a first edge region extending in a first direction, and a first expansion region between the first edge region and the central region, and a first outer auxiliary region adjacent to the first expansion region of the first out-of-band region in the first direction, the first outer auxiliary region having a first auxiliary pattern region.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: September 26, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-Ho Yun, Soo Kyung Kim, Jaikyun Park, Donghoon Lee, Rankyung Jung, Soonmok Ha
  • Patent number: 11763061
    Abstract: A method of making a semiconductor structure includes forming a plurality of gate electrodes over a plurality of active regions. The method further includes increasing a width of a portion of each of the plurality of gate electrodes between adjacent active regions of the plurality of active regions, wherein increasing the width of the portion of each of the plurality of gate electrodes comprises increasing the width of less than an entirety of each of the plurality of gate electrodes between the adjacent active regions. The method further includes removing a central region of each of the plurality of gate electrodes, wherein the central region has the increased width, and removing the central region comprises removing less than an entirety of the portion of each of the plurality of gate electrodes.
    Type: Grant
    Filed: July 28, 2022
    Date of Patent: September 19, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Jen Chen, Ling-Sung Wang, I-Shan Huang, Chan-Yu Hung
  • Patent number: 11762280
    Abstract: An EUV reflective structure includes a substrate and multiple pairs of a Si layer and a Mo layer. The Si layer includes a plurality of cavities.
    Type: Grant
    Filed: July 27, 2022
    Date of Patent: September 19, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Benny Ku, Keith Kuang-Kuo Koai, Wen-Hao Cheng
  • Patent number: 11761929
    Abstract: A sensor apparatus comprising an acoustic assembly arranged to transmit an acoustic signal to a substrate and receive at least part of the acoustic signal after the acoustic signal has interacted with the substrate, a transducer arranged to convert the at least part of the acoustic signal to an electronic signal, and, a processor configured to receive the electronic signal and determine both a topography of at least part of the substrate and a position of a target of the substrate based on the electronic signal. The sensor apparatus may for part of a lithographic apparatus or a metrology apparatus.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: September 19, 2023
    Assignee: ASML Netherlands B.V.
    Inventor: Alessandro Polo
  • Patent number: 11762279
    Abstract: A light shielding film made up of a material containing one or more elements selected from silicon and tantalum and a hard mask film made up of a material containing chromium, oxygen, and carbon are laminated on a transparent substrate. The hard mask film is a single layer film having a composition gradient portion with increased oxygen content on the surface and on the neighboring region. The maximum peak for N1s in a narrow spectrum obtained via X-ray photoelectron spectroscopy analysis is the lower limit of detection or less. The portions excluding the composition gradient portion of the hard mask film have a 50 atom % or more chromium content, and the maximum peak for Cr2p in a narrow spectrum obtained via X-ray photoelectron spectroscopy analysis has a binding energy of 574 eV or less.
    Type: Grant
    Filed: February 10, 2022
    Date of Patent: September 19, 2023
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Ryo Ohkubo, Hiroaki Shishido
  • Patent number: 11762277
    Abstract: An EUV photomask may include a multi-layered structure on a substrate, a capping layer on the multi-layered structure, and an absorber on the capping layer. The absorber may include a first sidewall and a second sidewall. The first sidewall may extend from an upper surface of the capping layer in a vertical direction substantially perpendicular to an upper surface of the substrate, and may be a flat plane. The second sidewall may extend from the first sidewall in the vertical direction, and may be a curved surface.
    Type: Grant
    Filed: June 25, 2021
    Date of Patent: September 19, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moosong Lee, Seongbo Shim
  • Patent number: 11762282
    Abstract: The present disclosure provides an apparatus for a semiconductor lithography process. The apparatus includes a mask defining a circuit pattern to be transferred. The apparatus further includes a pellicle including a pattern formed in a first surface, wherein the pellicle is attached to the mask at the first surface. The apparatus also includes an adhesive material layer disposed between the mask and the first surface. The pattern may include a plurality of capillaries. Each capillary of the plurality of capillaries may have a dimension in a plane of the first surface between about 1 ?m and about 500 ?m. Each capillary of the plurality of capillaries may have a ratio of depth to width greater than or equal to about 100. The adhesive material layer may include an adhesive having a glass transition temperature (Tg) greater than room temperature.
    Type: Grant
    Filed: July 26, 2022
    Date of Patent: September 19, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Yun-Yue Lin
  • Patent number: 11754917
    Abstract: Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a multilayer stack of absorber layers on the capping layer, the multilayer stack of absorber layers including a plurality of absorber layer pairs.
    Type: Grant
    Filed: April 12, 2021
    Date of Patent: September 12, 2023
    Assignee: Applied Materials, Inc.
    Inventor: Vibhu Jindal
  • Patent number: 11754918
    Abstract: The invention relates to a pellicle assembly comprising a pellicle frame defining a surface onto which a pellicle is attached. The pellicle assembly comprises one or more three-dimensional expansion structures that allow the pellicle to expand under stress. The invention also relates to a pellicle assembly for a patterning device comprising one or more actuators for moving the pellicle assembly towards and way from the patterning device.
    Type: Grant
    Filed: December 13, 2021
    Date of Patent: September 12, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: David Ferdinand Vles, Chaitanya Krishna Ande, Antonius Franciscus Johannes De Groot, Adrianus Johannes Maria Giesbers, Johannes Joseph Janssen, Paul Janssen, Johan Hendrik Klootwijk, Peter Simon Antonius Knapen, Evgenia Kurganova, Marcel Peter Meijer, Wouter Rogier Meijerink, Maxim Aleksandrovich Nasalevich, Arnoud Willem Notenboom, Raymond Olsman, Hrishikesh Patel, Mária Péter, Gerrit Van Den Bosch, Wilhelmus Theodorus Anthonius Johannes Van Den Einden, Willem Joan Van Der Zande, Pieter-Jan Van Zwol, Johannes Petrus Martinus Bernardus Vermeulen, Willem-Pieter Voorthuijzen, Hendrikus Jan Wondergem, Aleksandar Nikolov Zdravkov
  • Patent number: 11747562
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to spiral waveguide absorbers and methods of manufacture. The structure includes: a photonics component; and a waveguide absorber with a grating pattern coupled to a node of the photonics component.
    Type: Grant
    Filed: May 6, 2022
    Date of Patent: September 5, 2023
    Assignee: GLOBALFOUNDRIES U.S. INC.
    Inventor: Yusheng Bian
  • Patent number: 11749615
    Abstract: A display device includes: a substrate including a first component area in which a first transmission portion is arranged, a second component area that surrounds the first component area and in which a second transmission portion is arranged, and a main display area surrounding at least a portion of the second component area; an insulating layer having a first transmission hole corresponding to the first transmission portion and a second transmission hole corresponding to the second transmission portion, the first transmission hole and the second transmission hole exposing an upper surface of the substrate; a plurality of display elements arranged on the insulating layer and corresponding to the first component area, the second component area, and the main display area; and an alignment pattern arranged on the substrate and overlapping the second transmission hole and configured to align a component with the second component area.
    Type: Grant
    Filed: June 11, 2021
    Date of Patent: September 5, 2023
    Assignee: Samsung Display Co., Ltd.
    Inventors: Seungchan Lee, Sungjin Hong, Sanghee Jang, Sunhee Lee
  • Patent number: 11740553
    Abstract: A method of manufacturing a photomask set includes: preparing a mask layout, the mask layout including a plurality of first layout patterns apart from one another in a first region, wherein distances between center points of three first layout patterns adjacent to one another from among the plurality of first layout patterns respectively have different values; grouping pairs of first layout patterns, in which a distance between two first layout patterns adjacent to each other does not have a smallest value, and splitting the mask layout pattern into at least two mask layouts; and forming a photomask set including at least two photomasks each including a mask pattern corresponding to the first layout pattern included in each of the mask layout patterns split into at least two mask layouts.
    Type: Grant
    Filed: October 26, 2021
    Date of Patent: August 29, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hungbae Ahn, Sangoh Park, Sunggon Jung
  • Patent number: 11740552
    Abstract: A manufacturing method includes the steps of: (a) preparing a lower layer member having a first base layer, a first protective thin film, and a first CNT thin film; (b) preparing a first upper layer member having a second base layer, a second protective thin film, and a second CNT thin film or a second upper layer member having a second base layer and a second protective thin film; (c) arranging the lower layer member above the first CNT thin film; (d) forming a group member by arranging the second CNT thin film of the first upper layer member or the second protective film of the second upper layer member to be stacked on the first CNT thin film; and € removing the second base layer from the group member.
    Type: Grant
    Filed: January 7, 2022
    Date of Patent: August 29, 2023
    Assignee: ESOL Inc.
    Inventor: Dong Gun Lee
  • Patent number: 11740548
    Abstract: A filtration formed nanostructure pellicle film is disclosed. The filtration formed nanostructure pellicle film includes a plurality of carbon nanofibers that are intersected randomly to form an interconnected network structure in a planar orientation. The interconnected structure allows for a high minimum EUV transmission rate of at least 92%, with a thickness ranging from a lower limit of 3 nm to an upper limit of 100 nm, to allow for effective EUV lithography processing.
    Type: Grant
    Filed: December 2, 2022
    Date of Patent: August 29, 2023
    Assignee: LINTEC OF AMERICA, INC.
    Inventors: Marcio D. Lima, Takahiro Ueda
  • Patent number: 11740547
    Abstract: A method for manufacturing a reticle is provided. The method includes forming a first reflective multilayer over a mask substrate. The method also includes forming a capping layer over the first reflective ML. The method further includes depositing a first absorption layer over the capping layer. In addition, the method includes depositing an etch stop layer over the first absorption layer. The method also includes forming a second reflective multilayer (ML) over the etch stop layer. The method further includes forming a second absorption layer over the second reflective ML. In addition, the method includes forming an opening through the second absorption layer and the second reflective ML until the etch stop layer is exposed. The method also includes etching the etch stop layer and the first absorption layer through the opening until the capping layer is exposed.
    Type: Grant
    Filed: April 29, 2021
    Date of Patent: August 29, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Chang Hsueh, Huan-Ling Lee, Chia-Jen Chen, Hsin-Chang Lee
  • Patent number: 11740549
    Abstract: A method of forming an extreme ultraviolet (EUV) mask including forming a multilayer stack comprising alternating stacked Mo-containing layer and Si-containing layer over a mask substrate, forming a first nitride layer over the multilayer stack forming a capping layer over the multilayer stack, forming an absorber layer over the capping layer, and etching the absorber layer to form a pattern in the absorber layer.
    Type: Grant
    Filed: April 8, 2021
    Date of Patent: August 29, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Yun-Yue Lin
  • Patent number: 11740560
    Abstract: A method for determining an inspection strategy for at least one substrate, the method including: quantifying, using a prediction model, a compliance metric value for a compliance metric relating to a prediction of compliance with a quality requirement based on one or both of pre-processing data associated with the substrate and any available post-processing data associated with the at least one substrate; and deciding on an inspection strategy for the at least one substrate, based on the compliance metric value, an expected cost associated with the inspection strategy and at least one objective value describing an expected value of the inspection strategy in terms of at least one objective relating to the prediction model.
    Type: Grant
    Filed: March 1, 2021
    Date of Patent: August 29, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Eleftherios Koulierakis, Carlo Lancia, Juan Manuel Gonzalez Huesca, Alexander Ypma, Dimitra Gkorou, Reza Sahraeian
  • Patent number: 11733601
    Abstract: An EUV photomask having a main area and a scribe lane area and reflecting EUV light includes a reflective multilayer film and an absorption pattern, wherein the scribe lane area includes first and second lanes, wherein the first lane includes first and second sub-lanes extending in the same direction as an extending direction of the first lane, wherein the first sub-lane includes a first dummy pattern that is a portion of the absorption pattern, and the second sub-lane includes a second dummy pattern that is a portion of the absorption pattern, and when EUV light that is not absorbed by the first and second dummy patterns and is reflected by the reflective multilayer film is irradiated at least twice by overlapping a negative tone photoresist, an amount of light exceeds a threshold dose of light in the negative tone photoresist corresponding to the first lane.
    Type: Grant
    Filed: May 5, 2021
    Date of Patent: August 22, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soonmok Ha, Jaehee Kim, Sangho Yun, Chan Hwang
  • Patent number: 11726399
    Abstract: A reflective mask includes a substrate, a reflective multilayer disposed on the substrate, a capping layer disposed on the reflective multilayer, and an absorber layer disposed on the capping layer. The absorber layer includes one or more alternating pairs of a first Cr based layer and a second Cr based layer different from the first Cr based layer.
    Type: Grant
    Filed: December 20, 2021
    Date of Patent: August 15, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Pei-Cheng Hsu, Ching-Huang Chen, Hung-Yi Tsai, Ming-Wei Chen, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11726400
    Abstract: The present disclosure discloses a lithography process method for defining sidewall morphology of a lithography pattern, comprising: Step 1: designing a mask, wherein a mask pattern is formed on the mask, the mask pattern being used to define a lithography pattern; the lithography pattern has a sidewall, and a mask side face pattern structure that defines sidewall morphology of the lithography pattern is provided on the mask pattern, the mask side face pattern structure having a structure that enables an exposure light intensity to gradually change; Step 2: coating a to-be-exposed substrate with a photoresist; Step 3: exposing the photoresist by using the mask, and then performing development to form the lithography pattern; and Step 4: performing post-baking. The present disclosure can define the sidewall morphology of a lithography pattern, facilitating formation of a lithography pattern sidewall with an inclined side face.
    Type: Grant
    Filed: July 7, 2020
    Date of Patent: August 15, 2023
    Assignee: Shanghai Huahong Grace Semiconductor Manufacturing Corporation
    Inventor: Hui Wang